FPGA与高速ADC接口简介

news2024/9/20 14:29:48

引言:本文介绍FPGA与高速ADC接口方式和标准以及JESD204与FPGA高速串行接口。

1. 高速ADC与处理器互联需要权衡的因素

如图1所示,ADC模数转换器可以与微控制器、DSP、FPGA以及ASIC均可以实现互联,在进行选择时,需要考虑以下因素:设计要求的信号处理和转换器性能、开发成本、IO接口速率、开发的便利性以及器件材料成本。

图1:ADC与处理器互联需要权衡的因素

2. 高速ADC常见的接口形式

(1)并行接口

并行接口又可分为并行CMOS和并行DDR LVDS接口。CMOS并行接口一般速率在150MSPS,DDR LVDS ADC可达420MSPS速率,通常对FPGA接口性能要求不高,在低档FPGA接口实现,但是由于采用并行接口,这种ADC占用的FPGA IO管脚数量较多。

(2)串行LVDS接口

串行LVDS ADC最大速率可≥1Gbps,通常ADC片内集成倍频PLL,由于数据数量较高,通常需要中端FPGA实现互联,与FPGA互联的引脚数与使用的数据通道数有关。

(3)JESD204B接口

JESD204B ADC最大数据速率≥6.25Gbps,通常常用串行CML接口标准。需要使用FPGA收发器接口才可互联,一般需要中高端FPGA,由于采用Gbps收发器,使用的FPGA IO引脚数较少。

高速ADC常见的接口形式对比如图2所示。

图2:高速ADC常见的接口形式对比

3. 高速ADC常见控制接口

高速ADC的控制接口几乎都独立于数据接口,通常为SPI,有时为I2C或引脚可编程(物理管脚配置)。控制接口通常用于访问转换器的寄存器,实现芯片控制。

控制接口运行速率比数据接口慢得多,SPI运行速率通常小于40 MHz (5 Mbps)。

4. JESD204串行接口

(1)采用JESD204串行接口优点

如图3所示,采用JESD204串行接口优点较多。

图3:并行接口与串行接口对比

1)简化整体系统设计

■ 减少走线路径,简化电路板走线设计;

■ 简化同步时序设计。

2)引脚数减少 – Tx和Rx均是如此

■ 引脚数量多的低速并行接口转为引脚数量少的高速串行接口;

集成嵌入式时钟进一步减少引脚数。

3)降低系统成本

■ 更小的IC封装和电路板设计可降低成本。

4)轻松扩展满足未来带宽需要

■ 几何尺寸更小、速度更快,更好地适应标准。

(2)JESD204标准演进

JESD204,是JEDEC定义的针对高速点对点串行接口的一项标准,用于互连两个(或更多)器件,如转换器到数字接收器、数字源到DAC或数字源到数字接收器。

与以前的转换器接口相比单个主机串行接口可用来传递所有数据、时钟和帧信息。时钟和帧信息嵌入数据流中,无需担心数据和时钟之间的设置建立和保持时间。

图片

图4:JESD204标准链路

JESD204这种标准在转换器和接收器(通常为FPGA或ASIC)定义了一种数千兆位的数据链路。

如图4所示,JESD204(2006年4月)原有标准只定义了一条通道、一条链路对采样通过单个串行通道到多个转换器的传输进行了定义,最高速率为3.125Gbps。

图片

图5:JESD204A标准链路

如图5所示,JESD204A(2008年4月)—首次修订,将原有标准扩展成多条链路和多个通道。修订版增加了通过多个对齐串行通道向多个转换器传输数据的能力,最高速率为3.125 Gbps。

图片

图6:JESD204B标准链路

如图6所示,JESD204B(2011年8月),第三版,运用一个器件时钟,同时增加了多项措施以确保获得确定性的延迟。支持通过多个对齐的串行通道向多个转换器传输数据,最高速率为12.5Gbps。

(3)JESD204标准各层

图片

图7:JESD204B各层的简化数据流

如图7所示,JESD204B标准是一种分层规范,规范中的各层都有自己的功能要完成。应用层支持JESD204B链路的配置和数据映射。传输层实现转换样本与成帧未加扰八位字之间的映射。加扰层可以选择性地获取八位字并进行加扰或解扰,以便通过延展频谱尖峰来降低EMI效应。加扰在发送器中完成,解扰在接收器中完成。在数据链路层中,可选加扰的八位字编码成10位字符。该层也是产生或检测控制字符的地方,目的是监视和维护通道对齐。物理层即串行器/解串器(SERDES)层,负责以线路速率发送或接收字符。该层包括串行器、驱动器、接收器、时钟和数据恢复电路。

(4)JESD204B 标准中关键信号

1)器件时钟(Device clock)

■ 系统中的一个时钟信号,为链路中数据的帧速率的谐波;

■ 在JESD204B系统中,帧时钟不再是主机系统参考源。

2)SYNC~

■ 从接收器到发送器的一个系统同步低电平有效信号,表示同步状态;

■ 当SYNC~为低电平时,接收器和发送器同步;

■ 如果涉及多个DAC/ADC,则可将SYNC~组合起来。

3)通道0、 … 、 L-1

■ 链路中的差分通道(通常为高速CML);

■ 8B/10B代码组按先MSB/后LSB方式传输。

4)SYSREF(可选)

一个可选的源同步、高压摆率时序分辨率信号,用于重置器件时钟分频器(包括LMFC),以确保获得确定性的延迟。

同时分配至系统中的ADC/DAC和ASIC/FPGA逻辑器件,可用时,SYSREF是JESD204B系统中的主机时序参考,因为它负责重置LMFC参考。

(5)JESD204x 标准中确定性延迟

■ 当从JESD204x接收器输入端到JESD204x接收器输出端的时间始终为相同时钟周期时,则延迟具有确定性;

■ 在并行实现中,确定性延迟很简单—时钟随数据传输;

■ 在串行实现中,存在多个时钟域,结果可能造成不确定性;

■ JESD204和JESD204A并未针对如何确定延迟的确定性进行规定

■ JESD204B通过规定三个器件子类,试图解决这一问题:

器件子类0—不支持确定性延迟;

器件子类1—利用SYSREF(500 MSPS以上)实现确定性延迟;

器件子类2—利用SYNC(最高500 MSPS)实现确定性延迟。

(6)JESD204B硬件应用示例

图片

图8:JESD204B ADC与FPGA硬件连接示例

如图8所示,AD9250是来自ADI公司的250 MSPS 14位双ADC,支持子类1实现中的JESD204B接口。该子类允许使用SYSREF事件信号跨ADC进行模拟采样同步。AD9525是一款低抖动时钟发生器,不仅提供高达3.1 GHz的七个时钟输出,而且能够根据用户配置同步SYSREF输出信号。这两种产品,再加上ADI公司的一系列扇出缓冲器产品,提供了一个框架,可以精确同步和对齐发送到FPGA或ASIC进行处理的多个ADC数据。


图片

欢迎关注FPGA技术实战公众号,喜欢就多多转发吧!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2099374.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

强化学习实操入门随笔

碎碎念:经过思考,打通底层逻辑,我认为未来ai的功能是在沟通领域代替人,未来人-人模式(媒介是死的语言,比如看古人留下的文字、聊天的暂时不在)会变成人-ai替身-人模式(符合本人想法的…

上市公司财务数据分析系统

前言 1.拟解决的关键问题或技术: 本研究旨在解决企业在财务管理方面的主要问题,即如何通过对财务数据进行深度挖掘,实现对未来财务趋势的预测和风险的识别。具体地,该研究将重点解决以下问题: 1.构建有效的财务指标体系、设计合理的特征工程和指标构建方法,以充分反映…

Java基于小程序公考学习平台的设计与实现

博主介绍:✌程序员徐师兄、7年大厂程序员经历。全网粉丝12w、csdn博客专家、掘金/华为云/阿里云/InfoQ等平台优质作者、专注于Java技术领域和毕业项目实战✌ 🍅文末获取源码联系🍅 👇🏻 精彩专栏推荐订阅👇…

QT实现简易文件夹

使用UI界面实现简易文件夹 1、实现功能 1、双击文件夹&#xff0c;进入文件夹的下一层 2、点击回退按钮、返回上一级 3、如果是文本文件、则调用记事本的程序、显示文本内容 2、widget.h #ifndef WIDGET_H #define WIDGET_H#include <QWidget> #include <QDir>…

《深度学习》OpenCV 图像边缘检测 算法解析及代码演示

目录 一、图像边缘检测 1、什么是边缘检测 2、常用的边缘检测算法 1&#xff09;Sobel算子 2&#xff09;Scharr 算子 3&#xff09;Canny边缘检测算法 4&#xff09;Laplacian算子 3、边缘检测流程 1&#xff09;预处理 2&#xff09;计算梯度 3&#xff09;非极大值抑制 …

微型直线导轨高精度运行的工作原理

微型导轨是一种用于高精度定位和运动控制的传动装置&#xff0c;常用于微小化、高精密度化的机械设备中&#xff0c;如IC制造设备、半导体设备、高速移载的设备、精密测量、检测仪器、医疗设备、X-Y table&#xff0c;以及高速皮带驱动的设备等小型化设备。 微型导轨的构成相对…

Quartz.Net_持久化

简述 通常而言&#xff0c;Quartz.Net的数据默认是存储在运存中的&#xff0c;换言之&#xff1a;断电即失。所以在默认情况下&#xff0c;当系统重启后&#xff0c;原先的所有任务、触发器、调度器都会失效 为避免上述情况的发生&#xff0c;可以对Quartz.Net进行持久化设置…

支持函数库功能,支持对接豆包、腾讯混元、Amazon Bedrock等模型供应商,MaxKB v1.5.0版本发布

2024年9月2日&#xff0c;MaxKB开源知识库问答系统正式发布v1.5.0版本。 在v1.5.0社区版中&#xff0c;应用编排方面&#xff0c;MaxKB新增函数库功能&#xff0c;支持用户在应用编排时调用函数&#xff1b;模型管理方面&#xff0c;MaxKB新增对豆包、腾讯混元和Amazon Bedroc…

Datawhale AI夏令营 第五期 CV方向 Task2笔记

Task2&#xff1a;建模方案解读与进阶 YOLO介绍 YOLO&#xff08;You Only Look Once&#xff09;是一种流行的目标检测算法&#xff0c;于2015年首次提出 。YOLO的核心思想是将目标检测任务转化为一个回归问题&#xff0c;通过单个卷积神经网络&#xff08;CNN&#xff09;直…

Linux git的基本使用安装提交 和 gdb的使用

目录 安装git 首次使用git的配置 拉取仓库 步骤1&#xff1a;新建仓库 步骤2:复制仓库地址 步骤3&#xff1a;远端仓库拉取到本地 上传代码 常用指令 安装git sudo apt-get install git # Ubuntu/Debian sudo dnf install git # Fedora sudo yum insta…

惠中科技光伏清洗剂:点亮绿色能源未来

在当今全球追求可持续发展的时代&#xff0c;光伏产业作为清洁能源的重要代表&#xff0c;正发挥着日益关键的作用。而在光伏产业的高效运行中&#xff0c;惠中科技的光伏清洗剂犹如一颗璀璨的明珠&#xff0c;为光伏板的清洁与维护贡献着卓越力量。 一、光伏产业的挑战与需求…

SAP CAP(Cloud Application Programming)知识介绍和学习路径

1. 框架简介 1.1 什么是CAP&#xff1f; CAP&#xff08;Cloud Application Programming&#xff09;是SAP推出的一种现代化开发框架&#xff0c;旨在简化和加速云原生应用程序的开发。 CAP框架基于开放标准和技术&#xff0c;如Node.js、Java、OData和SQL&#xff0c;提供了…

删除重复的行

Excel的有7列数字&#xff0c;有些数字整行重复。 ABCDEFG11234567276543213123456741234567511111116111111177777777 要求删掉重复的行。 IJKLMNO1765432127777777 使用 SPL XLL&#xff0c;输入公式&#xff1a; spl("?.group(~).select(~.len()1).conj()",…

Windows、Mac安装配置Nodejs【保姆级教程】

安装配置Nodejs 最近需要使用whistle抓包&#xff0c;发现依赖nodejs环境&#xff0c;同时环境为windows&#xff0c;故在此记录。 1 windows 下载nodejs 官网地址&#xff1a;https://nodejs.org/en/ 下载完成后&#xff0c;双击安装包&#xff0c;开始安装Node.js直接点【…

SOMEIP_ETS_078: Wrong_SOMEIP_Protocol_Version

测试目的&#xff1a; 验证当设备&#xff08;DUT&#xff09;接收到一个包含错误协议版本的SOME/IP请求时&#xff0c;是否能够返回错误消息或忽略该请求。 描述 本测试用例旨在检查DUT在处理一个echoUINT8方法的SOME/IP消息时&#xff0c;如果消息中包含的协议版本不正确&…

ARM体系与架构

硬件基础 NOR Flash 和 NAND Flash异同 NOR&#xff0c;Not OR&#xff0c;逻辑是或非门&#xff1b;NAND&#xff0c;Not AND&#xff0c;逻辑是与非门。 两者都是FALSH芯片&#xff0c;断电不丢失。FLASH只能写0不能写1&#xff0c;所以写前都要先擦除。 NOR FLASH 按照块、…

HarmonyOS开发实战( Beta5版)高负载场景下线程设置防止关键线程被打断

场景介绍 在现代软件开发中&#xff0c;多线程或多进程的并发处理已成为常态。在多线程环境中&#xff0c;不同线程执行的任务具有不同的重要性和紧急程度。在高负载情况下&#xff0c;系统资源&#xff08;如CPU时间&#xff09;变得非常宝贵&#xff0c;此时如果关键线程&am…

开源-基于J2EE分布式架构的会议管理系统,支持会议资源管理,预订会议,冲突检测,提醒与签到

自20世纪末至21世纪初&#xff0c;数字化和互联网技术的迅猛发展彻底改变了工作方式和商业模式。企业迅速采用电子邮件、即时通讯和在线会议等数字工具以提升沟通效率。 在信息爆炸的时代&#xff0c;工作中面临的信息量剧增&#xff0c;而企业对效率和生产力的要求也日益提高…

用canvas 实现一个 图片 object-fit: cover 模式的效果 ,纯js

先看效果&#xff1a;左侧是原生的object-fit: cover img 右侧是canvas 处理之后的 模仿object-fit: cover 的效果&#xff0c;src 是转换之后的base64 地址 可以结合style样式发现右图并没有object-fit: cover&#xff0c;但是效果与左同。 直接贴代码吧 <!DOCTYPE htm…

2022ICPC香港站

K. Maximum GCD 分析&#xff1a;对 n 任意取模的结果只可能是小于 n/2​ 的正整数。一个序列的最大公约数不会超过这个序列的最小值。 如果全部值都大于等于最小值的两倍&#xff08;或等于最小值&#xff09;&#xff0c;则都可以变成最小值。 反之则取最小值/2。 #includ…