零基础STM32单片机编程入门(三十七) MPU6050陀螺仪传感器详解及实战源码

news2024/9/19 2:35:55

文章目录

    • 一.概要
    • 二.MPU6050芯片介绍
      • 1.MEMS传感器原理
      • 2.MPU6050芯片简介
      • 3.芯片引脚定义
      • 4.XYZ轴方向
      • 5.芯片内部框图
      • 6.芯片常用寄存器
    • 三.MPU6050模块原理图及与模块接口定义使用
    • 四.STM32单片机驱动MPU6050读取加速度角速度值实验
    • 五.CubeMX工程源代码下载
    • 六.小结

一.概要

MPU6050就是一种非常重要的传感器,被广泛应用于无人机、机器人、智能手机、平板电脑、可穿戴设备等领域。
MPU6050是一款功能强大的六轴运动跟踪传感器,适用于各类物体加速度与角速度的精确测量。
集成了三轴加速度计与三轴陀螺仪,并通过I2C接口与微控制器实现通信。在使用MPU6050之前,需进行一系列预处理步骤,包括初始化配置、校准操作及数据滤波等。
平衡车和无人机在内部都用到陀螺仪传感器和加速度计传感器,用来检测车体的姿态以及运动时发生的变化,再通过各方面的共同协调配合,从而保持平衡车和无人机的平衡与稳定。

在这里插入图片描述

二.MPU6050芯片介绍

1.MEMS传感器原理

MEMS加速度传感器通常由质量块、‌弹簧和电容等组件构成。‌当物体受到加速度作用时,‌质量块会受到力的作用而发生位移,‌而弹簧会受到拉伸或压缩。‌这些位移和变形将导致电容的改变,‌从而通过电容变化来测量加速度。
在这里插入图片描述

在这里插入图片描述

2.MPU6050芯片简介

MPU-6050是全球首例6轴运动处理传感器。它集成了3轴MEMS陀螺仪,3轴MEMS加速度计,以及一个可扩展的数字运动处理器DMP(DigitalMotionProcessor),可用I2C接口连接一个第三方的数字传感器,比如磁力计。扩展之后就可以通过其I2C或SPI接口输出一个9轴的信号(SPI接口仅在MPU-6000可用)。MPU-6050也可以通过其I2C接口连接非惯性的数字传感器,比如压力传感器。
MPU-6050对陀螺仪和加速度计分别用了三个16位的ADC(0~65535),将其测量的模拟量转化为可输出的数字量。为了精确跟踪快速和慢速的运动,传感器的测量范围都是用户可控的,陀螺仪可测范围为±250,±500,±1000,±2000°/秒(dps),加速度计可测范围为±2,±4,±8,±16g。
芯片尺寸4×4×0.9mm,采用QFN封装(无引线方形封装),可承受最大10000g的冲击,并有可编程的低通滤波器。

3.芯片引脚定义

在这里插入图片描述

4.XYZ轴方向

三轴加速度计‌主要用于测量物体在三个空间维度(X,Y,Z三轴)上的加速度。‌它们可以检测物体在静止或运动状态下的加速度,‌包括重力加速度和线性加速度。‌通过测量感应质量的移动距离和方向,‌可以确定物体的加速度。有了加速度采样的数据,就可以应用到碰撞检测,设备或桥梁倾斜角度,机器振动检测,走路计步等场合。

三轴陀螺仪‌则主要用于测量物体在三个空间维度上的角速度,‌即Roll(‌左右倾斜)‌、‌Pitch(‌前后倾斜)‌、‌Yaw(‌左右摇摆)‌。‌它们可以检测物体的方向和姿态变化,‌对于维持设备的稳定性和实现某些功能(‌如自动旋转屏幕)‌至关重要。‌有了陀螺仪采样的数据,就可以应用到飞机航姿参考系统,及无人机惯性导航,人体运动姿势检测等场合。

在这里插入图片描述

Roll(‌左右倾斜)‌、‌Pitch(‌前后倾斜)‌、‌Yaw(‌左右摇摆)‌示意图如下:
在这里插入图片描述

5.芯片内部框图

在这里插入图片描述

6.芯片常用寄存器

1.WHO_AM_I寄存器

此寄存器用于验证设备的身份。默认读出为0x68,如果读出是0x68,说明单片机跟MPU6050通讯成功。
在这里插入图片描述

2.加速度数据

从上到下分别为X轴高八位陀加速度值、x轴低八位加速度值、Y轴高八位加速度值…由于其地址也为连续的,我们只需调取连续读函数读0x3B读取6次就可以了
在这里插入图片描述

3.陀螺仪数据

从上到下分别为X轴高八位陀螺仪值、x轴低八位陀螺仪值、Y轴高八位陀螺仪值,由于其地址为连续的,我们只需调取连续读函数读0x43读取6次就可以了。
在这里插入图片描述

从寄存器读上来的数据是原始值,真正的加速度,角速度值需要跟量程挂钩,所以还需加个转换,加(角)速度值真实值 = 原始数据 / 灵敏度,如果量程寄存器不配置,默认加速度量程是±2g,默认陀螺仪量程±250度/秒。

加速度数据灵敏度表格
在这里插入图片描述
陀螺仪数据灵敏度表格
在这里插入图片描述

三.MPU6050模块原理图及与模块接口定义使用

在这里插入图片描述

模块接口定义:

SCL:IIC从时钟信号线SCL,模块需要外接上拉电阻,一般为4.7K
SDA:IIC从时钟信号线SDA,模块需要外接上拉电阻,一般为4.7K
INT:中断输出引脚,可以不接
XCL:IIC主串行数据信号线,用于外接传感器,一般不接
XDA:IIC主串行时钟信号线,用于外接传感器,一般不接
VCC_5V:3.3/5V电源输入
AD0:从IIC接口的地址控制引脚,该引脚控制IIC地址的最低位。默认是悬空就可以,MPU6050的IIC地址是:0x68,所以一般不需要接。真正单片机去读的时候,还需要在最低位加上读写位,这样IIC地址在写的时候就是0xD0,读的时候就是0xD1。
GND:地信号。

所以单片机跟MPU6050模块连接,只要接IIC的两个脚还有电源,地就可以了,总共4根线就可以通信使用了。

四.STM32单片机驱动MPU6050读取加速度角速度值实验

板子与MPU6050陀螺仪模块用杜邦线连接:
板子G-----模块GND
板子3.3---模块VCC
板子B6---模块SCL
板子B7---模块SDA

用杜邦线连接OLED显示:
板子G----液晶GND
板子3.3--液晶VCC
板子B10---液晶SCL
板子B11---液晶SDA

打开STM32CubeMX软件,新建工程
在这里插入图片描述
Part Number处输入STM32F103C8,再双击就创建新的工程
在这里插入图片描述
配置下载口引脚
在这里插入图片描述
配置外部晶振引脚
在这里插入图片描述

配置系统主频
在这里插入图片描述
IIC配置
在这里插入图片描述

配置工程文件名,保存路径,KEIL5工程输出方式
在这里插入图片描述
生成工程
在这里插入图片描述
用Keil5打开工程
在这里插入图片描述
添加代码
在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

主要程序:

/**
  * 函数功能: 初始化MPU6050芯片
  * 输入参数: 无
  * 返 回 值: 无
  * 说    明: 无
  */ 
void MPU6050_Init(void)
{
  int i=0,j=0;
  //在初始化之前要延时一段时间,若没有延时,则断电后再上电数据可能会出错
  for(i=0;i<1000;i++)
  {
    for(j=0;j<1000;j++)
    {
      ;
    }
  }
	MPU6050_WriteReg(MPU6050_RA_PWR_MGMT_1, 0x00);	    //解除休眠状态
	MPU6050_WriteReg(MPU6050_RA_SMPLRT_DIV , 0x07);	    //陀螺仪采样率,1KHz
	MPU6050_WriteReg(MPU6050_RA_CONFIG , 0x06);	        //低通滤波器的设置,截止频率是1K,带宽是5K
	MPU6050_WriteReg(MPU6050_RA_ACCEL_CONFIG , 0x00);	  //配置加速度传感器工作在2G模式,不自检
	MPU6050_WriteReg(MPU6050_RA_GYRO_CONFIG, 0x18);     //陀螺仪自检及测量范围,典型值:0x18(不自检,2000deg/s)
	MPU_INT_Init();
	

}

/**
  * 函数功能: 读取MPU6050的ID
  * 输入参数: 无
  * 返 回 值: 无
  * 说    明: 无
  */ 
uint8_t MPU6050ReadID(void)
{
	unsigned char Re = 0;
    MPU6050_ReadData(MPU6050_RA_WHO_AM_I,&Re,1);    //读器件地址
	if(Re != 0x68)
	{
		return 0;
	}
	else
	{
		return 1;
	}
		
}
/**
  * 函数功能: 读取MPU6050的加速度数据
  * 输入参数: 无
  * 返 回 值: 无
  * 说    明: 无
  */ 
void MPU6050ReadAcc(short *accData)
{
    uint8_t buf[6];
		MPU6050_ReadData(MPU6050_ACC_OUT, &buf[0], 6);

	
    accData[0] = (buf[0] << 8) | buf[1];
    accData[1] = (buf[2] << 8) | buf[3];
    accData[2] = (buf[4] << 8) | buf[5];
}

/**
  * 函数功能: 读取MPU6050的角速度数据
  * 输入参数: 无
  * 返 回 值: 无
  * 说    明: 无
  */ 
void MPU6050ReadGyro(short *gyroData)
{
    uint8_t buf[6];
    MPU6050_ReadData(MPU6050_GYRO_OUT,buf,6);
    gyroData[0] = (buf[0] << 8) | buf[1];
    gyroData[1] = (buf[2] << 8) | buf[3];
    gyroData[2] = (buf[4] << 8) | buf[5];
}

unsigned char t,CleanTime;
uint32_t TimeCounter;
extern void i2c_CfgGpio(void);
short Accel[3];
short Gyro [3];
short Temp;
float AccelData[3];//单位mg
float GyroData[3];//单位mdps
/* USER CODE END 0 */
/* USER CODE END PFP */

/* Private user code ---------------------------------------------------------*/
/* USER CODE BEGIN 0 */

/* USER CODE END 0 */

/**
  * @brief  The application entry point.
  * @retval int
  */
int main(void)
{
  /* USER CODE BEGIN 1 */

  /* USER CODE END 1 */

  /* MCU Configuration--------------------------------------------------------*/

  /* Reset of all peripherals, Initializes the Flash interface and the Systick. */
  HAL_Init();

  /* USER CODE BEGIN Init */

  /* USER CODE END Init */

  /* Configure the system clock */
  SystemClock_Config();

  /* USER CODE BEGIN SysInit */

  /* USER CODE END SysInit */

  /* Initialize all configured peripherals */
  MX_GPIO_Init();
  MX_I2C1_Init();
  /* USER CODE BEGIN 2 */
	OLED_Init();			       //初始化OLED  		
	MPU6050_Init();//MPU6050初始化配置
	if(MPU6050ReadID() == 0)//读取MPU6050 ID
	{	
	  while(1);
  }
  /* USER CODE END 2 */

  /* Infinite loop */
  /* USER CODE BEGIN WHILE */
  while (1)
  {
    /* USER CODE END WHILE */

    /* USER CODE BEGIN 3 */
		HAL_Delay(100);
    CleanTime++;
		if(CleanTime>=8)
		{
			CleanTime=0;
	  OLED_Clear();
		}
    OLED_ShowCHinese(18,0,0);//光
		OLED_ShowCHinese(36,0,1);//子
		OLED_ShowCHinese(54,0,2);//物
		OLED_ShowCHinese(72,0,3);//联
		OLED_ShowCHinese(90,0,4);//网


		MPU6050ReadAcc(Accel);	
		for( int i=0;i<3;i++)
		{
		if(Accel[i]>=0)
		{
			AccelData[i]=Accel[i]*2000/32768;
		}else
		{
			AccelData[i]=-(-Accel[i]+1)*2000/32768;

		}		
	}
		
	if(AccelData[0]<0)
	{
	  OLED_ShowString(0,3,"-");
		OLED_ShowNum(8,3,-AccelData[0],4,16);//加速度x	
	}else
	{
		OLED_ShowNum(8,3,AccelData[0],4,16);//加速度x
	}
	
	if(AccelData[1]<0)
	{
	  OLED_ShowString(40,3,"-");
		OLED_ShowNum(48,3,-AccelData[1],4,16);//加速度y
	}else
	{
		OLED_ShowNum(48,3,AccelData[1],4,16);//加速度y
	}
	
	if(AccelData[2]<0)
	{
	  OLED_ShowString(80,3,"-");
		OLED_ShowNum(88,3,-AccelData[2],4,16);//加速度z
	}else
	{
		OLED_ShowNum(88,3,AccelData[2],4,16);//加速度z
	}


	
		MPU6050ReadGyro(Gyro);	 
		for( int i=0;i<3;i++)
		{

		if(Gyro[i]>=0)
		{

			GyroData[i]=Gyro[i]*2000/32768;
		}else
		{

			GyroData[i]=-(-Gyro[i]+1)*2000/32768;
		
		}

	 }		
		
	 	if(GyroData[0]<0)
	{
	  OLED_ShowString(0,6,"-");
		OLED_ShowNum(8,6,-GyroData[0],4,16);//陀螺仪x	
	}else
	{
		OLED_ShowNum(8,6,GyroData[0],4,16);//陀螺仪x
	}
	
	if(GyroData[1]<0)
	{
	  OLED_ShowString(40,6,"-");
		OLED_ShowNum(48,6,-GyroData[1],4,16);//陀螺仪y
	}else
	{
		OLED_ShowNum(48,6,GyroData[1],4,16);//陀螺仪y
	}
	
	if(GyroData[2]<0)
	{
	  OLED_ShowString(80,6,"-");
		OLED_ShowNum(88,6,-GyroData[2],4,16);//陀螺仪z
	}else
	{
		OLED_ShowNum(88,6,GyroData[2],4,16);//陀螺仪z
	}

	}	  
	
  /* USER CODE END 3 */
}

五.CubeMX工程源代码下载

通过百度网盘分享的文件:37.MPU6050陀螺仪实验.rar
链接:https://pan.baidu.com/s/1Y0II5SCt6KLbwE4ebyON0A
提取码:5id9
如果链接失效,可以联系博主给最新链接
程序下载下来之后解压就行

CSDN源代码

六.小结

学会STM32单片机驱动MPU6050,在无人机、机器人、智能手机、平板电脑、可穿戴设备等应用6轴陀螺仪的场合就会得心应手。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2048128.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

嵌入式面经篇六——寄存器与存储器

文章目录 前言一、寄存器与存储器1、ARM 的 31 个通用寄存器 R0~R15 中&#xff0c;程序计数器 PC 为 R15、程序链接寄存器 LR 为 R14、堆栈指针寄存器 SP 为 R13。2、寄存器掉电会丢失数据吗&#xff1f;3、NOR Flash 与 NAND Flash 的区别&#xff1f;4、SRAM、DRAM、SDRAM的…

使用Python创建省份城市地图选择器

在这篇博客中&#xff0c;我们将探讨如何使用Python创建一个简单而实用的省份城市地图选择器。这个项目不仅能帮助我们学习Python的基础知识&#xff0c;还能让我们了解如何处理JSON数据和集成网页浏览器到桌面应用程序中。 C:\pythoncode\new\geographicgooglemap.py 全部代码…

Camtasia 2024破解版安装教程+汉化补丁激活2024 破解版激活码

最近&#xff0c;我在网上冲浪的时候&#xff0c;发现了一款录屏软件——Camtasia 2024。它不仅功能丰富&#xff0c;而且操作简单&#xff0c;简直是我的录屏利器&#xff01;今天&#xff0c;我就来给大家分享一下这款软件的最新功能&#xff0c;让你们也感受一下它的魔力&am…

【LeetCode:3137. K 周期字符串需要的最少操作次数 | 哈希表 + 子串计数】

&#x1f680; 算法题 &#x1f680; &#x1f332; 算法刷题专栏 | 面试必备算法 | 面试高频算法 &#x1f340; &#x1f332; 越难的东西,越要努力坚持&#xff0c;因为它具有很高的价值&#xff0c;算法就是这样✨ &#x1f332; 作者简介&#xff1a;硕风和炜&#xff0c;…

政务网站(.gov)专用SSL/HTTPS证书

政府网站在选择SSL证书时不仅需要遵循网络安全法规以及密评整改&#xff0c;更要提升公众信任度。国产服务商提供的专业版SSL证书&#xff0c;全方位符合政务部门对SSL证书的要求 1 算法要求 政务服务网站需要落实等保制度、密评制度&#xff0c;在密码应用上可选择国密算法S…

从0到1教你搭建Android自动化python+appium环境(超详细~)

&#x1f345; 点击文末小卡片&#xff0c;免费获取软件测试全套资料&#xff0c;资料在手&#xff0c;涨薪更快 一、需要软件 1. JDK:JAVA安装后配置JDK环境 2. SDK:SDK下载后配置adb环境 3. Python:pyhton语言 4. Pycharm:python脚本编译工具 5. Appium-python-clien…

如何巧妙构建“LDAPS”服务器利用JNDI注入

前段时间看到群友问了这样一个问题&#xff1a; ldap:和rmi:关键字被拦截了&#xff0c;是否还可以进行JNDI注入。方法很简单&#xff0c;就是使用ldaps&#xff0c;但后来发现很多人并不知道怎么搭建LDAPS服务器&#xff0c;正好CoNote里有这个功能&#xff0c;写篇简单的文章…

【大模型】LLM工作原理简述

LLM&#xff0c;即large-language-model&#xff0c;大语言模型。 我们可以观察LLM大模型比如豆包在回复的时候&#xff0c;是不是一个一个字&#xff0c;行业里称之为流式输出的方式给你呈现内容的。为什么会这样呢&#xff1f;这是因为&#xff0c;大模型确实是在一个字一个…

Libero编译怪事(1)计数达不到目标值

最近在开发Libero工程&#xff0c;芯片是AGLN250V2。 其中一段计数的程序&#xff0c;声明了一个integer参数。当该参数大于某一值时&#xff0c;执行状态跳转。 编译烧写后&#xff0c;程序一直无法实现跳转。 以为是由于integer是有符号的&#xff0c;可能出现负值&#x…

IDEA中查看接口的所有实现类和具体实现类

1.IDEA中接口的所有实现类查看 1.CTRLH(hierarchy 结构) 我们选中要查看的接口 按住快捷键ctrlh 在界面右侧可以看到该接口的所有可能实现类 2.右击diagrams->show diagram 选中要查看的接口 右击选择diagrams->show diagram 即可以以图表的方式查看接口和所有实现类…

英智金融行业AI Agent,在金融领域全场景下的业务创新与应用实践

随着全球经济的数字化转型&#xff0c;金融行业也在迅速演变。传统的金融服务已经无法完全满足现代客户对快速、个性化和高效服务的需求。与此同时&#xff0c;市场竞争的加剧、监管环境的变化以及客户期望的提升&#xff0c;促使金融机构不断寻求新的技术来优化运营效率、提升…

设计模式---简单工厂模式

简单工厂模式&#xff08;Simple Factory Pattern&#xff09; 是一种创建型设计模式&#xff0c;它定义了一个工厂类&#xff0c;通过这个工厂类可以创建不同类型的对象。简单工厂模式的主要目的是将对象的创建逻辑集中在一个地方&#xff0c;简化客户端的代码&#xff0c;使得…

代码复现改进

代码复现&#xff0c;文献复现&#xff0c;文章复现&#xff0c; 算法复现&#xff0c;科研复现 Matlab,Python中英文均可 保证质量&#xff0c;加快你的研究速度 代码改进跑通&#xff0c;模型优化改进

Java - IDEA开发

使用IDEA开发Java程序步骤&#xff1a; 创建工程 Project&#xff1b;创建模块 Module&#xff1b;创建包 Package&#xff1b;创建类&#xff1b;编写代码&#xff1b; 如何查看JDK版本 Package介绍: package是将项目中的各种文件,比如源代码、编译生成的字节码、配置文件、…

Linux驱动开发基础(设备树)

所学来自百问网 目录 1. 引入设备树的原因 2. 设备树语法 2.1 Devicetree格式 2.1.1 DTS文件格式 2.1.2 node的格式 2.1.3 properties的格式 2.1.4 dts 文件包含dtsi文件 2.2 常用属性 2.2.1 #address-cells、#size-cells 2.2.2 compatible 2.2.3 model 2.2.4 st…

使用 Go 语言将 Base64 编码转换为 PDF 文件

使用Go语言将PDF文件转换为Base64编码-CSDN博客文章浏览阅读104次&#xff0c;点赞2次&#xff0c;收藏5次。本文介绍了如何使用 Go 语言将 PDF 文件转换为 Base64 编码&#xff0c;并保存到文件中。https://blog.csdn.net/qq_45519030/article/details/141224319 在现代编程中…

一、前后端分离通用权限系统(1)

&#x1f33b;&#x1f33b; 目录 一、项目介绍1.1 项目简介1.1.1 项目特色1.1.2 项目背景1.1.3 前置知识1.1.4 项目大纲 1.2 项目详细介绍1.2.1 介绍1.2.2 核心技术1.2.3 项目模块1.2.4 数据库设计 二、搭建环境2.1、搭建项目结构2.1.1、搭建父工程 gansu-auth-parent2.1.2、搭…

Unity 求坐标点在扇形区域内的投影

视频效果&#xff1a; 代码: /// <summary>/// 投影在扇形区域内的点/// </summary>/// <param name"targetPos">目标点</param>/// <param name"fanRadius">扇形半径</param>/// <param name"fanAngle"…

企业为什么需要安装加密软件

1. 数据保护 防止数据泄露&#xff1a;加密软件通过对敏感数据进行加密处理&#xff0c;确保即使数据在传输或存储过程中被截获&#xff0c;也无法被未授权人员读取或利用&#xff0c;从而有效防止数据泄露。 完整性保护&#xff1a;加密不仅保护数据的机密性&#xff0c;还通…

Vue3+ElementPlus,侧边栏菜单折叠时,图标不显示踩坑笔记

问题如下&#xff1a; 折叠前&#xff1a; 折叠后&#xff1a; 一般有三个问题&#xff0c;会导致这个错误&#xff1a; 排查1 动态图标渲染时&#xff0c;要写el-icon&#xff0c;否则也是不显示的 排查2 嵌套路由需要写在插槽里面&#xff0c;不是嵌套路由则需要写在插…