FPGA FIFO IP核(2)- 配置与调用

news2024/9/20 0:32:09

前言

上上期介绍了FIFO IP核理论方面的一些内容,接下来开始进行FIFO IP核的配置和使用部分。

FIFO IP核再理解

  • 关键点
    先进先出:数据按顺序写入FIFO,先被写入的数据在读取的时候先被读出。
    FIFO存储器没有地址线。
    FIFO主要作为缓存,应用与同步时钟系统和异步时钟系统。

配置 同步FIFO IP 核

新建文件夹

在这里插入图片描述
在prj文件夹中另建一个ipcore文件夹
在这里插入图片描述

新建fifo工程(略)

配置FIFO IP核

在这里插入图片描述在这里插入图片描述
这里将配置的FIFO IP核命名为scfifo_256x8,即256个深度8位宽的同步FIFO IP核
在这里插入图片描述
在这里插入图片描述

  • full:写满标志位
    表示FIFO已经存储满,此时通过该信号控制写请求信号,禁止再往FIFO写入数据,防止数据溢出丢失。当写入数据量达到最大空间时,时钟上升沿写入最后一个数据,同时full拉高。读取数据时随时钟上升沿触发同时拉低。
  • empty:读空标志位
    选中表示FIFO已经没有数据,此时通过该信号控制读请求信号,禁止FIFO继续再读出数据,否则读出的都是无效数据。与full相反,写入数据同时拉低,读到最后一个数据时拉高。
  • usedw:
    显示当前FIFO中已存数据个数,与写入数据的个数是同步的。写第一个数据时置1,为空或满时为0。
    在这里插入图片描述
    选择普通FIFO模式,即当前读请求有效的下一拍数据才出来,下面一个先出数据模式,读请求来到之前第一个数据就已经先出来了,使得当前的读请求有效时立刻输出数据。不同于上面的。
    在这里插入图片描述
    设置FIFO速度,是否禁用保护电路,是否使用逻辑单元实现FIFO存储器。选择NO使用更少的资源。(默认)
    在这里插入图片描述
    显示Altera仿真库
    在这里插入图片描述
    输出inst.v文件
    加粗样式

调用FIFO IP核

  • 输入信号:
    系统时钟
    256个8bit数据(0~255)
    伴随输入数据有效的标志信号
    写请求信号
  • 输出信号
    读取的数据
    FIFO空标志信号
    FIFO满标志信号
    指示FIFO中存在数据个数的信号
  • 调用代码
module fifo(
	input	wire			clk			,	//系统时钟信号
	input	wire	[7:0]	pi_data		,	//8 bit数据
	input	wire			pi_flag		,	//伴随输入数据有效的标志信号,写请求信号
	input	wire			rdreq		, 	//读请求信号

	output	wire	[7:0]	po_data		,	//读取的数据
	output	wire			empty		,	//空标志信号,高电平有效
	output	wire			full		,	//满标志信号,高电平有效
	output	wire	[7:0]	usedw			//指示FIFO中存在数据个数的信号		
);
scfifo_256x8	scfifo_256x8_inst(
	.clock	(clk	),
	.data	(pi_data),
	.wrreq	(pi_flag),
	.rdreq	(rdreq	),

	.empty	(empty	),
	.full	(full	),
	.q		(po_data),
	.usedw	(usedw	)
);

endmodule
  • RTL综合视图
    在这里插入图片描述

小结

至此一个同步时钟FIFO IP核的配置和顶层调用模块代码编写,以及代码综合后得出的RTL视图已经全部完成,接下来就是进行仿真工作,见下期。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1952908.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

C语言 | Leetcode C语言题解之第275题H指数II

题目&#xff1a; 题解&#xff1a; int hIndex(int* citations, int citationsSize) {int left 0, right citationsSize - 1;while (left < right) {int mid left (right - left) / 2;if (citations[mid] > citationsSize - mid) {right mid - 1;} else {left mi…

时效性知识点是否值得花时间学习和研究

新趋势 智能大模型训练成本与人才培养成本之间的博弈。 视频 录了个断断续续的视频&#xff1a; 编程简单吗&#xff1f;为什么技术型内容几乎停更了&#xff1f; 代码形式的程序 /** Created by ArduinoGetStarted.com** This example code is in the public domain** Tuto…

[算法]插入排序和希尔排序

这里简单的介绍一下插入排序和希尔排序的算法实现&#xff0c;为简单起见&#xff0c;排序为升序且排序的数组是整形数组。 一、插入排序 &#xff08;一&#xff09;、算法思路 把数组里的第一个元素视为有序的&#xff0c;然后取第二个元素与前面的元素作比较&#xff0c;如…

2024钉钉杯A题思路详解

文章目录 一、问题一1.1 问题1.2 模型1.3 目标1.4 思路1.4.1 样本探究1.4.2 数据集特性探究&#xff1a;1.4.3 数据预处理1.4.4 数据趋势可视化1.4.5 ARIMA和LSTM两种预测模型1.4.6 参数调整 二、问题二2.1 问题2.2 模型2.3 目标2.4 思路2.4.1 样本探究2.4.2 数据集特性探究2.4…

jenkins中shell脚本中使用构建参数化Groovy变量的四种方式

jenkins中shell脚本中使用构建参数化Groovy变量的四种方式: 以字符变量为例&#xff1a; 流水线代码&#xff1a; pipeline {agent {//label "${server}"label "${28}"}stages {stage(Hello) {steps {echo Hello Worldecho "${28}"echo "…

C语言-TCP通信创建流程

TCP通信创建流程 1. 客户端创建TCP连接 在整个流程中, 主要涉及以下⼏个接⼝socket() : 创建套接字, 使⽤的套接字类型为流式套接字connect() : 连接服务器send() : 数据发送recv() : 数据接收创建套接字 首先&#xff0c;我们需要创建套接字&#xff0c;套接字是通信的基础…

Adobe Lightroom Classic 2024(LRC2024)软件下载(附下载链接)+LRC教程

目录 一、简介 二、下载 三、功能 四、使用操作 一、简介 Adobe Lightroom Classic 2024&#xff08;简称LRC2024&#xff09;是Adobe公司推出的一款专业级照片处理和管理软件&#xff0c;广泛应用于摄影师和摄影爱好者中。作为Adobe家族的一员&#xff0c;LRC2024在继承前…

平价不入耳运动耳机哪款最好?五款回购榜优品种草

许多有健身运动习惯的朋友在选择耳机时会优先考虑不入耳耳机&#xff0c;因为它佩戴舒适&#xff0c;稳固性和安全性更高&#xff0c;不仅在运动时不会轻易掉落&#xff0c;还能够方便我们在进行户外运动时接收外界的声音。那么&#xff0c;平价不入耳运动耳机哪款最好&#xf…

Spark实时(一):StructuredStreaming 介绍

文章目录 Structured Streaming 介绍 一、SparkStreaming实时数据处理痛点 1、复杂的编程模式 2、SparkStreaming处理实时数据只支持Processing Time 3、微批处理,延迟高 4、精准消费一次问题 二、StructuredStreaming架构与场景应用 三、​​​​​​​​​​​​​​…

C++·set与map容器(下)

本节把红黑树封装到set与map容器中去主要就是迭代器的自增自减&#xff0c;封装的大部分内容都展示到最后代码中了 1. 红黑树的改造 因为set容器只有关键码值&#xff0c;map容器中不仅要存关键码值&#xff0c;还要存关键码值对应的数据。但是红黑树只有一颗&#xff0c;我们…

Python操作PostgreSQL指南

文本介绍了使用Python中的psycopg2库来操作PostgreSQL数据库&#xff0c;包括安装必要的包、建立和关闭连接、执行增删改查操作以及处理可能的异常。这些操作将在Python应用程序中与PostgreSQL数据库进行有效的交互。 一. 简介和包的安装 PostgreSQL是一个强大、开源的对象关…

技术分享!国产ARM + FPGA的SDIO通信开发介绍!

SDIO总线介绍 SDIO(Secure Digital lnput and Output),即安全数字输入输出接口。SDIO总线协议是由SD协议演化而来,它主要是对SD协议进行了一些扩展。 SDIO总线主要是为SDIO卡提供一个高速的I/O能力,并伴随着较低的功耗。SDIO总线不但支持SDIO卡,而且还兼容SD内存卡。支持…

web前端开发一、VScode环境搭建

1、VScode安装live server插件&#xff0c;写完代码后&#xff0c;保存就会在浏览器自动更新&#xff0c;不需要再去浏览器点击刷新了 2、创建html文件 3、在文件中输入感叹号 &#xff01; 4、选择第一个&#xff0c;然后回车&#xff0c;就会自动输入html的标准程序 5、…

【Linux C | 网络编程】进程池零拷贝传输的实现详解(四)

上一篇解决了进程池中进行大文件传输的问题&#xff0c;通过循环接收和发送指定大小的内容实现大文件的可靠传输。 【Linux C | 网络编程】进程池大文件传输的实现详解&#xff08;三&#xff09; 但是其中不可避免的在循环中使用多次的send和recv&#xff0c;这就涉及到多次…

0725_驱动1 内核中并发和竟态解决方法

一、内核中并发和竟态相关概念 一、什么时候产生竟态 1.同一个驱动程序&#xff0c;同时被多个应用层程序进行访问 2.访问同一个临界资源&#xff0c;驱动产生竟态 二、竟态产生根本原因 1.在单核cpu中&#xff0c;如果内核支持抢占&#xff0c;就会产生竟态 2.在多核cpu中&…

Internxt:适用于Linux开源安全云存储平台

有无数的云存储平台为您的文件提供安全可靠的存储空间。可在 Linux 上安装的热门云存储应用程序包括Dropbox、Nextcloud和Google Drive&#xff0c;遗憾的是&#xff0c;后者迄今为止不提供 Linux 客户端。 其他自托管选项包括OwnCloud、Pydio Cells、Seafile、Resilio和Synct…

【C++深度探索】AVL树与红黑树的原理与特性

&#x1f525; 个人主页&#xff1a;大耳朵土土垚 &#x1f525; 所属专栏&#xff1a;C从入门至进阶 这里将会不定期更新有关C/C的内容&#xff0c;欢迎大家点赞&#xff0c;收藏&#xff0c;评论&#x1f973;&#x1f973;&#x1f389;&#x1f389;&#x1f389; 前言 前…

鱼哥好书分享活动第28期:看完这篇《终端安全运营》终端安全企业基石,为你的终端安全保驾护航!

鱼哥好书分享活动第28期&#xff1a;看完这篇《终端安全运营》终端安全企业基石&#xff0c;为你的终端安全保驾护航&#xff01; 读者对象&#xff1a;主要内容&#xff1a;本书目录&#xff1a;了解更多&#xff1a;赠书抽奖规则: 在当前网络威胁日益复杂化的背景下&#xff…

SGLang 大模型推理框架 qwen2部署使用案例;openai接口调用、requests调用

参考: https://github.com/sgl-project/sglang 纯python写,号称比vllm、tensorRT还快 暂时支持模型 安装 可以pip、源码、docker安装,这里用的pip 注意flashinfer安装最新版,不然会可能出错误ImportError: cannot import name ‘top_k_top_p_sampling_from_probs’ fr…

FreeSWITCH 1.10.10 简单图形化界面27-Auto-Answer功能

FreeSWITCH 1.10.10 简单图形化界面27-Auto-Answer功能 1、前言2、测试环境3、呼叫测试 1、前言 在某些支持 Auto-Answer 消息头的 SIP 设备上&#xff0c;我们可以通过使用 FreeSWITCH 的 sip_auto_answer 变量来实现 SIP 设备的自动接听功能。即使 SIP 设备本身没有明确地启…