2.I/O口

news2024/9/20 12:41:40

文章目录

  • I/O输出(点灯)
    • 分析电路
    • 代码编写
  • I/O输入(电平检测)
    • 代码编写
  • I/O内部电路分析
    • 51单片机
    • STM32单片机
      • 输入
      • 输出

I/O输出(点灯)

分析电路

看电路图,元器件形成电压差,即可点亮LED灯

LED电路图

代码编写

使用不同操作进行LED控制

#include "reg52.h"	//51单片机头文件
#include <intrins.h>
sbit LED1 = P1^0;	//引脚初始化:P1^0:对应引脚的LED灯,定义LED1代表P1^0这个引脚的LED灯
sbit LED2 = P1^1;
sbit LED3 = P1^2;
sbit LED4 = P1^3;

int i;
//延时1000ms的延时函数
void Delay1000ms()    //@11.0592MHz
{
  unsigned char data i, j, k;
  _nop_();
  i = 8;
  j = 1;
  k = 243;
  do
  {
     do
     {
       while (--k);
     } while (--j);
  } while (--i);
}
 
void main()
{
	while(1)
	{
		//方法一:对位操作,P1^0为0,接通
		LED1 = 0;	//电路图得知,LED为0时,接通LED灯
		LED2 = 1;
		LED3 = 1;
		LED4 = 1;
		//方法二:P1寄存器直接赋值,两种效果相同
		//P1 = 0xfe;   //11111110,LED灯为P1^0~P1^3,则为最低位3位
		Delay1000ms();
      
		//方法一:对位操作,P1^1为0,接通
		LED1 = 1;
		LED2 = 0;
		LED3 = 1;
		LED4 = 1;
		//P1 = 0xfd; //11111101
		Delay1000ms(); 
      
		//方法一:对位操作,P1^2为0,接通
		LED1 = 1;
		LED2 = 1;
		LED3 = 0;
		LED4 = 1; 
		//P1 = 0xfb; //11111011
		Delay1000ms(); 

		//方法一:对位操作,P1^3为0,接通
		LED1 = 1;
		LED2 = 1;
		LED3 = 1;
		LED4 = 0;
		//P1 = 0xf7; //11110111
		Delay1000ms();

		//对方法三分析
		//P1 = 0xff; //11111111
		//Delay1000ms();
		//P1 = P1<<1;  //11111110
		//Delay1000ms();
		//P1 = P1<<1; //11111100
		//Delay1000ms();	
      
		//方法三:位移实现对LED灯控制
		P1 = 0xff; //11111111,将LED灯初始为灭
		Delay1000ms();
		//通过4次循环左移,实现对LED灯的控制
		for(i=0;i<4;i++)
		{
			P1 = P1<<1;
			Delay1000ms();
		}
	}
}

I/O输入(电平检测)

输入是检测输入的电平的高低,与输出不同

电路图知,按键按下为低电平,则判断按键引脚为低电平则按键按下

按键电路图

注意:按键会有抖动。消除方法:按键并联一个电容 或 代码延时

代码编写

#include<reg52.h>	//51单片机头文件
#include <intrins.h>
sbit LED1 = P1^0;
sbit KEY1 = P3^2;	//第一个按键位引脚
sbit KEY2 = P3^3;	//第二个按键位引脚
sbit BEEP = P1^6;	//蜂鸣器位引脚
//延时函数,用来消除按键抖动
void Delay120ms()		//@11.0592MHz
{
	unsigned char data i, j;

	i = 216;
	j = 37;
	do
	{
		while (--j);
	} while (--i);
}

void main()
{
	while(1)
	{
		if(KEY1 == 0)
		{
			Delay120ms();
			//双重判断且加延时函数,消除按键抖动
			if(KEY1 == 0)
			{
				LED1 = ~LED1;	//对LED取反,则实现LED开关
			}
		}
		
		if(KEY2 == 0)
		{
			Delay120ms();
			if(KEY2 == 0)
			{
				BEEP = ~BEEP;	//对蜂鸣器取反,则实现蜂鸣器开关
			}
		}
	}
}

I/O内部电路分析

51单片机

准双向口/弱上拉:可用作输出和输入功能而不需要配置口线输出状态

开漏输出(P0):当P0管脚做I/O时,需要外加上拉电阻,若作为地址/数据总线时,不需要加上拉电阻

STM32单片机

输入

浮空输入:从IO引脚入,VDD(上拉电阻)打开和Vss(下拉电阻)打开,经过输入数据寄存器,读出

上拉输入:从IO引脚入,VDD(上拉电阻)闭合和Vss(下拉电阻)打开,经过输入数据寄存器,读出

下拉输入:从IO引脚入,VDD(上拉电阻)打开和Vss(下拉电阻)闭合,经过输入数据寄存器,读出

模拟输入:从IO引脚入,VDD(上拉电阻)打开和Vss(下拉电阻)打开,经过模拟输入
分析图

输出

开漏输出:在开漏输出模式下,P-MOS(上拉)管不工作,只有N-MOS(下拉)管起作用。若输出数据寄存器的值为0,则N-MOS导通,IO口输出低电平;若输出数据寄存器的值为1,则N-MOS截止;由于P-MOS不工作,此时IO口既不是高电平,也不是低电平,这种状态被称为高阻态

推挽输出:在推挽输出模式下,若输出数据寄存器的值为0,则N-MOS(下拉)导通,P-MOS(上拉)截止,IO口输出低电平;若输出数据寄存器的值为1,则N-MOS截止,P-MOS导通,IO口输出高电平

复用:使别引脚也具备原本引脚功能

推挽复用输出、开漏复用输出

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1931618.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

AI助手在企业虚拟展厅中的应用有哪些?

在AI人工智能发展的浪潮下&#xff0c;视创云展也在元宇宙展厅中创新的融入了「AI智能助手」&#xff0c;当用户在虚拟展厅内自由探索时&#xff0c;AI智能助手始终如影随形&#xff0c;为用户提供即时、精准的信息解答与互动体验&#xff0c;使参观过程更加智能化、便捷化和个…

吴恩达机器学习笔记 三十八 二进制标签 均值归一化

标签 0 和 1 可以有很多种意义。从回归到二分分类&#xff1a;之前定义 ,而对于二进制标签&#xff0c;通过给出y^(i,j)为 1 的概率&#xff0c;其中 损失函数 均值归一化 计算每个电影的平均得分&#xff0c;例如第一部电影的平均分为2.5&#xff0c;第五部电影的平均分为1.2…

HP iLO5服务器硬件监控指标解读

在现代化数据中心中&#xff0c;服务器的稳定运行对于保障业务的连续性至关重要。HP的iLO&#xff08;Integrated Lights-Out&#xff09;技术&#xff0c;尤其是iLO5版本&#xff0c;为HP服务器提供了强大的远程管理功能。监控易作为一款专业的监控软件&#xff0c;通过支持HP…

Artix7系列FPGA实现SDI视频编解码,基于GTP高速接口,提供3套工程源码和技术支持

目录 1、前言工程概述免责声明 2、相关方案推荐本博已有的 SDI 编解码方案本方案在Xilinx--Kintex系列FPGA上的应用本方案在Xilinx--Zynq系列FPGA上的应用 3、详细设计方案设计原理框图SDI 输入设备Gv8601a 均衡器GTP 高速接口-->解串与串化SMPTE SD/HD/3G SDI IP核BT1120转…

【爬虫】滑块缺口识别

滑块示例 分为背景图 和 滑块图 主要目的 识别背景图滑块缺口 下载识别库 pip install opencvcode import numpy as np import cv2def identify_gap(bg, tp):bg1 np.asarray(bytearray(bg), dtypenp.uint8)tp1 np.asarray(bytearray(tp), dtypenp.uint8)# 灰度bg_img cv2…

MySQL 数据库 - SQL

SQL通用语法 SQL通用语法 SQL语句可以单行或者多行书写&#xff0c;以分号结尾。SQL语句可以使用空格/缩进来增强语句的可读性。 注意&#xff1a;空格和缩进的个数是没有限制的&#xff0c;可以是 “一个” 也可以是 “多个”。MySQL数据库的SQL语句不区分大小写&#xff0c;…

魔幻Vscode,紫色爱好者的福音,真正的智能代码补全

&#x1f349;一、Dracula Official&#xff08;紫色爱好者的福音皮肤&#xff09; Dracula Official插件&#xff0c;打开扩展直接搜索Dracula Official下载即可&#xff0c;下载完成自动换上新皮&#xff01; Dracula Official &#x1f349;二、蓝色主题的福音 Aurora X 安…

[crypt]-密码学心声

通过音乐来传递情报&#xff0c;乐谱如下&#xff1a; 乐谱中有请转成艾塞克、十进制等等&#xff0c;可以将数字转为assic试试&#xff0c;1234567&#xff0c;猜测是8进制&#xff0c;三位一组&#xff0c;破解如下&#xff1a; oct8 [111, 114, 157, 166, 145, 123, 145, …

【2024】VsCode + Latex + Linux(Ubuntu) + wsl环境下配置教程 | 包含 中文配置,和 格式化处理

前言 本篇教程是针对WSL下的Ubuntu操作系统的配置教程&#xff0c;它和一般的Linux环境下的配置有所不同&#xff0c;并且和Windows环境下的也有所不同。 本篇博客编写参考了 官方文档&#xff08;Tex&#xff09; 和 插件官方&#xff08;Texlive Workshop&#xff09; 文档…

【中项】系统集成项目管理工程师-第一模块:IT技术和管理-1.1信息与信息化-1.1.3信息化基础

前言&#xff1a;系统集成项目管理工程师专业&#xff0c;现分享一些教材知识点。 软考同样是国家人社部和工信部组织的国家级考试&#xff0c;全称为“全国计算机与软件专业技术资格&#xff08;水平&#xff09;考试”&#xff0c;目前涵盖了计算机软件、计算机网络、计算机应…

NineData全面支持PostgreSQL可视化表结构设计

“PostgreSQL 是最像 Oracle 的开源关系型数据库“&#xff0c;也正因为如此&#xff0c;很多企业都青睐 PostgreSQL&#xff0c;拿它当成 Oracle 的替代品。所以毫无疑问&#xff0c;目前 PostgreSQL 在企业中非常常见。 对于直接接触 PostgreSQL 的开发人员而言&#xff0c;…

【雷丰阳-谷粒商城 】【分布式高级篇-微服务架构篇】【26】【内网穿透】cpolar

持续学习&持续更新中… 守破离 【雷丰阳-谷粒商城 】【分布式高级篇-微服务架构篇】【27】【内网穿透】cpolar 内网穿透cpolar内网穿透联调配置练习—使用公网地址访问gulimall.com参考 内网穿透 正常的外网需要访问我们项目的流程是&#xff1a; 买服务器并且有公网固定…

汽车开发阶段(OTS/VFF/PVS/OS/SOP)

OTS&#xff1a;即英语中的Off Tooling Sample&#xff0c;通常被称为工装样件。它指的是通过配套设备、工装夹具以及模具制造出来的样品&#xff0c;但并不强调生产的时间效率&#xff0c;主要用于验证产品的设计能力。 VFF&#xff1a;在德语中表示为Vorserien Freigabefahr…

集群服务器如何解决跨服务器通信?大量并发通信问题?

Nginx tcp负载均衡模块&#xff1a; 1.将client的请求按照 负载均衡算法 分发到服务器 2.负载均衡器与服务器保持心跳机制&#xff0c;监测故障、保障服务可靠性 3.可以发现添加新的服务器&#xff0c;方便扩展服务器集群的数量 Nginx反向代理用途&#xff1a; 2.4 用途 …

大数据技术基础

一、大数据平台 1.大数据平台方案步骤&#xff1a; ①市场上有哪些大数据平台 ②硬件、系统、业务增长等方面 ③方案是否通过 通过后&#xff1a;按照一期目标投入 先虚拟环境部署联系&#xff0c;再实际部署 《大数据架构介绍》《Hadoop架构解析》《Hadoop集群规划》 《H…

已有nodejs的情况下安装nvm

文章目录 前言一、下载地址二、使用方法1.已安装nodejs2.未安装过nodejs3.注意事项4.测试是否安装成功5.切换nodejs版本方式 前言 作为一个前端开发人员&#xff0c;在一开始入行就安装了nodejs&#xff0c;这也是必不可少的开发环境&#xff0c;但总会遇到某些插件和当前node…

【保姆级】Python项目部署到Linux生产环境(uwsgi+python+flask+nginx服务器)

1.安装python 我这里是3.9.5版本 安装依赖&#xff1a; yum install zlib-devel bzip2-devel openssl-devel ncurses-devel sqlite-devel readline-devel tk-devel gcc make -y 根据自己的需要下载对应的python版本&#xff1a; cd /usr/local wget https://www.python.or…

洛谷 P1056 [NOIP2008 普及组 T2]:排座椅 ← 贪心算法

【题目来源】https://www.luogu.com.cn/problem/P1056https://www.acwing.com/problem/content/436/【题目描述】 上课的时候总有一些同学和前后左右的人交头接耳&#xff0c;这是令小学班主任十分头疼的一件事情。 不过&#xff0c;班主任小雪发现了一些有趣的现象&#xff0c…

云动态摘要 2024-07-16

给您带来云厂商的最新动态&#xff0c;最新产品资讯和最新优惠更新。 最新优惠与活动 数据库上云优选 阿里云 2024-07-04 RDS、PolarDB、Redis、MongoDB 全系产品新用户低至首年6折起&#xff01; [免费体验]智能助手ChatBI上线 腾讯云 2024-07-02 基于混元大模型打造&…

【Flask从入门到精通:第十二课:常用模块、蓝图 Blueprint】

常用模块 Faker 文档: https://faker.readthedocs.io/en/master/locales/zh_CN.html 批量生成测试数据: https://github.com/joke2k/faker pip install faker -i https://pypi.douban.com/simple代码&#xff1a; from flask import Flask from flask_sqlalchemy import S…