整理GTX收发器示例工程(高速收发器十一)

news2024/10/6 20:30:18

  前文分析了xilinx官方提供的GTX IP示例工程,该代码的结构比较混乱,本文将该代码进行梳理,形成一个便于使用的模块,后续如果要使用多通道的收发器,多次例化某个模块就行了。

  下图是官方例程中GTX IP相关模块的RTL视图,根据前文时钟资源的讲解,同一bank的四个通道共用一个QPLL,因此需要将GT_COMMON放到上层模块,同时IBUFGDS_GTE2也应该放到上层模块中。

  之后如果需要使用多个通道,只需要将剩余的部分多次例化即可,多个通道共用一个QPLL。

在这里插入图片描述

图1 原始RTL视图

1、整理gt_channel模块

  首先整理每个通道相关模块,包含复位同步模块、MMCM模块、GTX IP,需要把GTX IP一些不常用的输入端口置为固定电平,输出端口悬空,其余端口引出到上层模块中。

  首先把GTX IP输出的复位信号和复位同步模块输出的复位信号合成为GT_COMMON的复位信号输出,将发送通道和接收通道的用户时钟输出,对应代码如下所示。

    assign o_qpllreset = w_commonreset || w_gt_qpll_reset;//生成QPLL复位信号;

    assign o_rx_clk = gt_rxusrclk2;//将用户时钟信号引出;
    assign o_tx_clk = gt_txusrclk2;//将用户时钟信号引出;

  之后整理时钟生成模块,GTX IP输出的时钟信号TXOUTCLK经过内部的MMCM生成TXUSRCLK等四个时钟信号。由于IBUFGDS_GTE2放到上层模块中,因此可以去除对应的端口和内部信号。

    //例化生成用户时钟的MMCM模块。
    gtwizard_0_GT_USRCLK_SOURCE u_gt_usrclk_source (
        .GT0_TXUSRCLK_OUT           ( gt_txusrclk       ),//;
        .GT0_TXUSRCLK2_OUT          ( gt_txusrclk2      ),//;
        .GT0_TXOUTCLK_IN            ( gt_txoutclk       ),//;
        .GT0_TXCLK_LOCK_OUT         ( gt_txmmcm_lock    ),//;
        .GT0_TX_MMCM_RESET_IN       ( gt_txmmcm_reset   ),//;
        .GT0_RXUSRCLK_OUT           ( gt_rxusrclk       ),//;
        .GT0_RXUSRCLK2_OUT          ( gt_rxusrclk2      ),//;
        .GT0_RXCLK_LOCK_OUT         ( gt_rxmmcm_lock    ),//;
        .GT0_RX_MMCM_RESET_IN       ( gt_rxmmcm_reset   ) //;
    );

  然后例化复位同步模块,该模块将外部的异步复位信号同步到系统时钟域下,进而可以作为QPLL复位信号之一。

    //例化GT_COMMON复位模块。
    gtwizard_0_common_reset u_common_reset (    
        .STABLE_CLOCK       ( sys_clk       ),//Stable Clock, either a stable clock from the PCB
        .SOFT_RESET         ( i_tx_rst      ),//User Reset, can be pulled any time
        .COMMON_RESET       ( w_commonreset ) //Reset QPLL
    );

  之后例化GTX IP模块,该模块信号比较多,将DRP、复位完成指示信号、回环模式控制、加重、极性控制、字节对齐指示信号、QPLL和MMCM相关信号引出。眼图测试、txoutclkpcs这些不常用引脚直接置为固定电平或者悬空即可,整理后的代码如下所示。

    //例化GTX IP。
    gtwizard_0  u_gtwizard_0 (
        .sysclk_in                      ( sys_clk           ),//系统时钟输入,与DRP时钟频率保持一致。
        .soft_reset_tx_in               ( i_tx_rst          ),//发送端口的软复位信号。
        .soft_reset_rx_in               ( i_rx_rst          ),//接收端口的软复位信号。
        .dont_reset_on_data_error_in    ( 0                 ),//输入数据错误指示信号,高电平有效。
        .gt0_tx_fsm_reset_done_out      ( o_tx_done         ),//发送数据的状态机复位完成指示信号,高电平有效;
        .gt0_rx_fsm_reset_done_out      (                   ),//接收数据的状态机复位完成指示信号,高电平有效;
        .gt0_data_valid_in              ( 1                 ),//输入GT数据有效指示信号。
        .gt0_tx_mmcm_lock_in            ( gt_txmmcm_lock    ),//用户输出数据时钟信号锁定指示信号。
        .gt0_tx_mmcm_reset_out          ( gt_txmmcm_reset   ),//用户输出数据时钟信号复位信号。
        .gt0_rx_mmcm_lock_in            ( gt_rxmmcm_lock    ),//用户输入数据时钟信号锁定指示信号。
        .gt0_rx_mmcm_reset_out          ( gt_rxmmcm_reset   ),//用户输入数据时钟信号复位信号。
        .gt0_drpaddr_in                 ( i_drpaddr         ),//input wire [8:0] gt0_drpaddr_in
        .gt0_drpclk_in                  ( i_drpclk          ),//input wire gt0_drpclk_in
        .gt0_drpdi_in                   ( i_drpdi           ),//input wire [15:0] gt0_drpdi_in
        .gt0_drpdo_out                  ( o_drpdo           ),//output wire [15:0] gt0_drpdo_out
        .gt0_drpen_in                   ( i_drpen           ),//input wire gt0_drpen_in
        .gt0_drprdy_out                 ( o_drprdy          ),//output wire gt0_drprdy_out
        .gt0_drpwe_in                   ( i_drpwe           ),//input wire gt0_drpwe_in

        .gt0_dmonitorout_out            (                   ),//监测端口输出。
        .gt0_loopback_in                ( i_loopback        ),//回环模式输入端口。
        .gt0_eyescanreset_in            ( 0                 ),//眼图复位输入。
        .gt0_rxuserrdy_in               ( 1                 ),//接收数据准备接口,使用IP接收数据时输入高电平。
        .gt0_eyescandataerror_out       (                   ),//眼图扫描相关信号。
        .gt0_eyescantrigger_in          ( 0                 ),//眼图扫描相关信号。
        .gt0_rxclkcorcnt_out            (                   ),//时钟状态监测。
        .gt0_rxusrclk_in                ( gt_rxusrclk       ),//接收端用户时钟信号。
        .gt0_rxusrclk2_in               ( gt_rxusrclk2      ),//接收端用户时钟信号。
        .gt0_rxdata_out                 ( o_rx_data         ),//接收数据信号,位宽与IP配置用户数据位宽保持一致。
        .gt0_rxdisperr_out              (                   ),//接收数据极性错误指示信号。
        .gt0_rxnotintable_out           (                   ),//output wire [3:0] gt0_rxnotintable_out
        .gt0_gtxrxp_in                  ( i_gt_rx_p         ),//接收数据的差分引脚。
        .gt0_gtxrxn_in                  ( i_gt_rx_n         ),//接收数据的差分引脚。
        .gt0_rxbyteisaligned_out        ( o_rx_bytealign    ),//接收数据的字节对齐指示信号。
        .gt0_rxdfelpmreset_in           ( 0                 ),//LPM复位引脚。
        .gt0_rxmonitorout_out           (                   ),//output wire [6:0] gt0_rxmonitorout_out
        .gt0_rxmonitorsel_in            ( 0                 ),//input wire [1:0] gt0_rxmonitorsel_in
        .gt0_rxoutclkfabric_out         (                   ),//output wire gt0_rxoutclkfabric_out
        .gt0_gtrxreset_in               ( i_rx_rst          ),//RX端复位信号。
        .gt0_rxpmareset_in              ( i_rx_rst          ),//PMA复位信号。
        .gt0_rxpolarity_in              ( i_rx_polarity     ),//极性控制。

        .gt0_rxcharisk_out              ( o_rx_char         ),//接收数据的K码指示信号,高电平表示K码。
        .gt0_rxresetdone_out            ( o_rx_done         ),//接收器的复位完成指示信号。
        .gt0_txpostcursor_in            ( i_txpostcursor    ),//发送端的预加重。
        .gt0_txprecursor_in             ( i_txpercursor     ),//发送端的后加重。
        
        .gt0_gttxreset_in               ( i_tx_rst          ),//发送端的复位信号。
        .gt0_txuserrdy_in               ( 1                 ),//input wire gt0_txuserrdy_in
        .gt0_txusrclk_in                ( gt_txusrclk       ),//input wire gt0_txusrclk_in
        .gt0_txusrclk2_in               ( gt_txusrclk2      ),//input wire gt0_txusrclk2_in
        .gt0_txdiffctrl_in              ( i_tx_diffctrl     ),//差分的幅值控制引脚。
        .gt0_txdata_in                  ( i_tx_data         ),//用户发送数据输入端口。
        .gt0_gtxtxn_out                 ( o_gt_tx_n         ),//接收数据的差分引脚。
        .gt0_gtxtxp_out                 ( o_gt_tx_p         ),//接收数据的差分引脚。
        .gt0_txoutclk_out               ( gt_txoutclk       ),//output wire gt0_txoutclk_out
        .gt0_txoutclkfabric_out         (                   ),//output wire gt0_txoutclkfabric_out
        .gt0_txoutclkpcs_out            (                   ),//PCS时钟输出。
        .gt0_txcharisk_in               ( i_tx_char         ),//发送数据的K码指示信号。
        .gt0_txresetdone_out            (                   ),//发送端的复位完成指示信号。
        .gt0_txpolarity_in              ( i_tx_polarity     ),//发送端的极性控制。

        .gt0_qplllock_in                ( i_qplllock        ),//input wire gt0_qplllock_in
        .gt0_qpllrefclklost_in          ( i_qpllrefclklost  ),//input wire gt0_qpllrefclklost_in
        .gt0_qpllreset_out              ( w_gt_qpll_reset   ),//output wire gt0_qpllreset_out
        .gt0_qplloutclk_in              ( i_qplloutclk      ),//input wire gt0_qplloutclk_in
        .gt0_qplloutrefclk_in           ( i_qplloutrefclk   ) //input wire gt0_qplloutrefclk_in
    );

  最终gt_channel模块的RTL视图如下所示。

在这里插入图片描述

图2 gt_channel的RTL视图

2、整理gt_module模块

  本次需要使用两个高速收发器,则只需要在该模块内,将gt_channel例化两次,两个模块共用同一个QPLL输出时钟即可。

  首先整理IBUFGDS_GTE2和GT_COMMON,如下所示,差分时钟先经过IBUFGDS_GTE2转化为单端时钟,之后通过GT_COMMON生成GTX IP需要的时钟信号,注意QPLL的复位信号只能通过一个gt_channel模块提供。

    //例化IBUFDS,将差分时钟输入转换为单端时钟。
    IBUFDS_GTE2 u_IBUFDS_GTE2(
        .O      ( gtrefclk          ),//输出单端时钟信号;
        .ODIV2  (                   ),//输出二分频单端时钟信号;
        .CEB    ( 0                 ),//输出时钟使能信号,低电平有效;
        .I      ( i_gtrefclk_p      ),//输入的差分时钟对;
        .IB     ( i_gtrefclk_n      ) //输入的差分时钟对;
    );

    //例化QPLL
    gtwizard_0_common #(
        .SIM_QPLLREFCLK_SEL     ( 3'b001            ) //时钟选择。
    )
    u_common (
        .QPLLREFCLKSEL_IN       ( 3'b001            ),//选择BANK的时钟0作为QPLL时钟输入。
        .GTREFCLK0_IN           ( gtrefclk          ),//BANK的时钟0;
        .GTREFCLK1_IN           ( 1'b0              ),//BANK的时钟1;
        .QPLLLOCKDETCLK_IN      ( sys_clk           ),

        .QPLLLOCK_OUT           ( w_qplllock        ),//QPLL时钟锁定端口;
        .QPLLOUTCLK_OUT         ( w_qplloutclk      ),//QPLL时钟输出端口;
        .QPLLOUTREFCLK_OUT      ( w_qplloutrefclk   ),//QPLL参考时钟端口;
        .QPLLREFCLKLOST_OUT     ( w_qpllrefclklost  ),//QPLL时钟;
        .QPLLRESET_IN           ( w_qpllreset       ) //QPLL时钟复位端口;
    );

之后只需要例化两个gt_channel模块,就可以使用两通道的高速收发器,整个模块的例化如下所示。

    //例化通道0的GT收发器模块;
    gt_channel u_gt_channel_0(
        .sys_clk            ( sys_clk           ),//系统时钟输入,与DRP时钟频率保持一致。
        .i_rx_rst           ( i_0_rx_rst        ),//接收数据端复位信号;
        .i_tx_rst           ( i_0_tx_rst        ),//发送数据端复位信号;
        .o_tx_done          ( o_0_tx_done       ),//发送端口复位完成指示信号;
        .o_rx_done          ( o_0_rx_done       ),//接收数据端口复位完成指示信号;
        .i_tx_polarity      ( i_0_tx_polarity   ),//发送数据极性控制引脚;
        .i_tx_diffctrl      ( i_0_tx_diffctrl   ),//差分幅值控制引脚;
        .i_txpostcursor     ( i_0_txpostcursor  ),//发送数据前加重调节信号;
        .i_txpercursor      ( i_0_txpercursor   ),//发送数据后加重信号;     
        .i_rx_polarity      ( i_0_rx_polarity   ),//接收数据极性控制引脚;
        .i_loopback         ( i_0_loopback      ),//回环模式信号;
        .i_drpaddr          ( i_0_drpaddr       ),//动态设置参数端口;
        .i_drpclk           ( i_0_drpclk        ),//动态设置参数端口;
        .i_drpdi            ( i_0_drpdi         ),//动态设置参数端口; 
        .o_drpdo            ( o_0_drpdo         ),//动态设置参数端口; 
        .i_drpen            ( i_0_drpen         ),//动态设置参数端口;
        .o_drprdy           ( o_0_drprdy        ),//动态设置参数端口; 
        .i_drpwe            ( i_0_drpwe         ),//动态设置参数端口;
        .i_qplllock         ( w_qplllock        ),//QPLL时钟锁定端口; 
        .i_qpllrefclklost   ( w_qpllrefclklost  ),//QPLL时钟端口信号; 
        .o_qpllreset        ( w_qpllreset       ),//QPLL时钟复位端口;
        .i_qplloutclk       ( w_qplloutclk      ),//QPLL时钟输出端口; 
        .i_qplloutrefclk    ( w_qplloutrefclk   ),//QPLL参考时钟端口; 
        .o_rx_bytealign     ( o_0_rx_bytealign  ),//接收数据字节对齐指示信号;
        .o_rx_clk           ( o_0_rx_clk        ),//接收数据时钟信号;
        .o_rx_data          ( o_0_rx_data       ),//接收数据,位宽与IP设置保持一致;
        .o_rx_char          ( o_0_rx_char       ),//接收数据K码指示信号,高点破表示对应字节数据时K码;
        .o_tx_clk           ( o_0_tx_clk        ),//发送数据时钟信号;
        .i_tx_data          ( i_0_tx_data       ),//发送数据,位宽与IP设置保持一致;
        .i_tx_char          ( i_0_tx_char       ),//发送数据K码指示信号,高点破表示对应字节数据时K码;
        .o_gt_tx_p          ( o_0_gt_tx_p       ),//GT发送数据的差分信号对;
        .o_gt_tx_n          ( o_0_gt_tx_n       ),//GT发送数据的差分信号对;
        .i_gt_rx_p          ( i_0_gt_rx_p       ),//GT接收数据的差分信号对;
        .i_gt_rx_n          ( i_0_gt_rx_n       ) //GT接收数据的差分信号对;    
    );

    //例化通道1的GT收发器模块;
    gt_channel u_gt_channel_1(
        .sys_clk            ( sys_clk           ),//系统时钟输入,与DRP时钟频率保持一致;
        .i_rx_rst           ( i_1_rx_rst        ),//接收数据端复位信号;
        .i_tx_rst           ( i_1_tx_rst        ),//发送数据端复位信号;
        .o_tx_done          ( o_1_tx_done       ),//发送端口复位完成指示信号;
        .o_rx_done          ( o_1_rx_done       ),//接收数据端口复位完成指示信号;
        .i_tx_polarity      ( i_1_tx_polarity   ),//发送数据极性控制引脚;
        .i_tx_diffctrl      ( i_1_tx_diffctrl   ),//差分幅值控制引脚;
        .i_txpostcursor     ( i_1_txpostcursor  ),//发送数据前加重调节信号;
        .i_txpercursor      ( i_1_txpercursor   ),//发送数据后加重信号;     
        .i_rx_polarity      ( i_1_rx_polarity   ),//接收数据极性控制引脚;
        .i_loopback         ( i_1_loopback      ),//回环模式信号;
        .i_drpaddr          ( i_1_drpaddr       ),//动态设置参数端口;
        .i_drpclk           ( i_1_drpclk        ),//动态设置参数端口;
        .i_drpdi            ( i_1_drpdi         ),//动态设置参数端口; 
        .o_drpdo            ( o_1_drpdo         ),//动态设置参数端口; 
        .i_drpen            ( i_1_drpen         ),//动态设置参数端口;
        .o_drprdy           ( o_1_drprdy        ),//动态设置参数端口; 
        .i_drpwe            ( i_1_drpwe         ),//动态设置参数端口;
        .i_qplllock         ( w_qplllock        ),//QPLL时钟锁定端口; 
        .i_qpllrefclklost   ( w_qpllrefclklost  ),//QPLL时钟端口信号; 
        .o_qpllreset        (                   ),//QPLL时钟复位端口,一个bank只需要一个通道输出QPLL复位即可。
        .i_qplloutclk       ( w_qplloutclk      ),//QPLL时钟输出端口; 
        .i_qplloutrefclk    ( w_qplloutrefclk   ),//QPLL参考时钟端口; 
        .o_rx_bytealign     ( o_1_rx_bytealign  ),//接收数据字节对齐指示信号;
        .o_rx_clk           ( o_1_rx_clk        ),//接收数据时钟信号;
        .o_rx_data          ( o_1_rx_data       ),//接收数据,位宽与IP设置保持一致;
        .o_rx_char          ( o_1_rx_char       ),//接收数据K码指示信号,高点破表示对应字节数据时K码;
        .o_tx_clk           ( o_1_tx_clk        ),//发送数据时钟信号;
        .i_tx_data          ( i_1_tx_data       ),//发送数据,位宽与IP设置保持一致;
        .i_tx_char          ( i_1_tx_char       ),//发送数据K码指示信号,高点破表示对应字节数据时K码;
        .o_gt_tx_p          ( o_1_gt_tx_p       ),//GT发送数据的差分信号对;
        .o_gt_tx_n          ( o_1_gt_tx_n       ),//GT发送数据的差分信号对;
        .i_gt_rx_p          ( i_1_gt_rx_p       ),//GT接收数据的差分信号对;
        .i_gt_rx_n          ( i_1_gt_rx_n       ) //GT接收数据的差分信号对;    
    );

  后续如果要使用多通道的收发器,只需要在该模块下,多次例化gt_channel模块即可。

gt_module的RTL视图如下所示。

在这里插入图片描述

图3 gt_module的TRL视图

  本文对模块的整理到此结束,后文将使用该模块实现一个自定义收发格式的PHY设计。


  如果对文章内容理解有疑惑或者对代码不理解,可以在评论区或者后台留言,看到后均会回复!

  如果本文对您有帮助,还请多多点赞👍、评论💬和收藏⭐!您的支持是我更新的最大动力!将持续更新工程!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1718343.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

ArcGIS教程(02):创建多模式网络数据集

启动“新建网络数据集”向导 命名网络并选择源要素类 输入网络数据集名称【ParisMultimodal_ND】,点击【下一页】 点击【全选】网络数据集中的要素类 点击【下一页】 设置连通性和高程策略 点击【连通性】 Metro_Entrances 的每个要素与街道要素类的折点重…

九部门联合发文知识产权保护体系建设,微版权打造全链条知产保护

近日,国家知识产权局会同中央宣传部、最高人民法院、最高人民检察院、公安部、司法部、商务部、海关总署、国家市场监督管理总局等八部门联合印发《知识产权保护体系建设工程实施方案》(以下简称《方案》),共同加强知识产权保护体系建设。 《方案》是新时…

如此简单,一文带你玩转接口自动化上(Python + Pytest + Requests + Allure )

一. 前言 哈喽大伙们好,好久不见距离上次更新博客已经有一年之久了,这将近一年的时间小编主要的时间都花在了实习和24届校招上面了,最终也是收获满满,选择了一个还不错的offer,感谢一路走来的自己和身边朋友的帮助&…

Visual Studio中调试信息格式参数:/Z7、/Zi、/ZI参数

一般的调试信息都保存在pdb文件中。 Z7参数表示这些调试信息保存到OBJ目标文件中,这样的好处是不需要单独分发PDB文件给下游。Zi就是把所有的调试信息都保存在pdb文件中,以缩小发布文件的大小。ZI和Zi类似,但是增加了热重载的能力&#xff1…

【传知代码】MonoCon解读与复现(论文复现)

前言:在快速发展的计算机视觉领域,单目视觉(Monocular Vision)技术凭借其独特的优势和广泛的应用前景,逐渐成为了研究的热点。MonoCon作为单目视觉领域的一项重要技术,其独特的算法设计和高效的性能表现&am…

使用pkg打包了一个使用了sqlite3的nodejs项目,启动后闪退

从截图来看,问题出在 sqlite3 模块上。说明在打包过程中,sqlite3 模块的 .node 文件没有正确加载。 紧急解决方法: 其实就是exe文件还需要node_modules中的sqlite3 依赖,我们直接在系统顶级放一个node_modules,且其中只…

(2024,Video2Game,NeRF,Mesh,物理模块,游戏引擎)通过单个视频实现实时、交互、逼真且兼容浏览器的环境

Video2Game: Real-time, Interactive, Realistic and Browser-Compatible Environment from a Single Video 公众号:EDPJ(进 Q 交流群:922230617 或加 VX:CV_EDPJ 进 V 交流群) 目录 0. 摘要 2. 相关工作 3. Video…

论文115:Reinforced GNNs for multiple instance learning (TNNLS‘24)

文章目录 1 要点2 预备知识2.1 MIL2.2 MIL-GNN2.3 Markov博弈2.4 深度Q-Learning 3 方法3.1 观测生成与交互3.2 动作选择和指导3.3 奖励计算3.4 状态转移和终止3.5 多智能体训练 1 要点 题目:用于MIL的强化GNN 代码:https://github.com/RingBDStack/RG…

Ollama 本地大模型框架

该篇教程主要讲解*Ollama的安装和简单使用* Ollama: 在本地启动并运行大型语言模型。 主要流程目录: 1.安装 2.使用 2.1.下载模型 2.2.简单使用 2.3.中文模型 2.4.中文社区 3.总结 1.安装 创建一个容器 切换”高级视图“ 参考填写 ollama oll…

ARM32开发——总线与时钟

🎬 秋野酱:《个人主页》 🔥 个人专栏:《Java专栏》《Python专栏》 ⛺️心若有所向往,何惧道阻且长 文章目录 APB总线时钟树时钟树 外部晶振内部晶振 在这个例子中,这条大街和巴士构成了一套系统,我们称之为AHB总线。 …

响应式界面控件DevExtreme - 更强的数据分析和可视化功能

DevExtreme拥有高性能的HTML5 / JavaScript小部件集合,使您可以利用现代Web开发堆栈(包括React,Angular,ASP.NET Core,jQuery,Knockout等)构建交互式的Web应用程序。从Angular和Reac&#xff0c…

新火种AI|OpenAI要和苹果合作了?微软有些不高兴

作者:一号 编辑:美美 和苹果之间的合作,可能会称为Altman引以为傲的功绩。 根据 The Information 援引知情人士的消息,OpenAI 已经和苹果达成了协议,将在其产品中运用 OpenAI 的对话式 AI。 如果进展顺利&#xff…

gitlab服务器迁移(亲测有效)

描述:最近公司迁移gitlab,我没有迁移过,经过网上查找资料最终完成迁移,途中也遇到挺多坑和两个问题,希望能帮到你。 新服务器安装gitlab 注意:新服务器gitlab版本也需要和旧版本一致。 首先查看原Gitlab…

12V转5V5A降压芯片:AH8317的全面解析

# 12V转5V降压芯片:AH8317的全面解析 在电子设计领域,电压转换器是不可或缺的组件之一,它们允许电子设备在不同的电源电压下稳定运行。今天,我们将深入探讨一款高性能的同步降压转换器——AH8317,它以其出色的性能和广…

连锁便利店水电远程抄表管理系统是什么?

一、系统概述 连锁便利店水电远程抄表管理系统是一种高效、智能化的解决方案,旨在优化便利店的能源管理,提高运营效率。它通过先进的技术手段,实现了对便利店水电用量的实时监控和远程抄表,大大降低了人工成本,提升了…

悬剑武器库5.04版

工具介绍 悬剑5 基于“悬剑网盘”精选工具集悬剑5“飞廉”云武器库制作。 操作系统:Windows 10 专业版 锁屏密码:secquan.org 解压密码: 圈子社区secquan.org 镜像大小:33.1GB 系统占用空间63.0 GB 镜像导入 下载镜像,文末…

vm:为虚拟机配置多个虚拟网卡(ubuntu20.04)

前言: 环境:虚拟机 ubuntu 20.04 要求:如标题,但是这里针对的是 ubuntu 20.04,对于其他操作系统,可以找一下其他操作系统对应的配置文件是什么 vm 添加虚拟网卡 首先进入 vm: 点击设置&#xf…

员工恶意删除公司数据怎么办,如何防范员工恶意删除公司数据

员工恶意删除公司数据怎么办,如何防范员工恶意删除公司数据 面对员工恶意删除公司数据的情况,企业应当采取一系列紧急且有序的应对措施,以最小化损失并确保业务连续性。以下是一套推荐的应对流程: 1.立即行动: 断开网…

freertos初体验 - 在stm32上移植

1. 说明 freertos内核 非常精简,代码量也很少,官方也针对主流的编译器和内核准备好了移植文件,所以 freertos 的移植是非常简单的,很多工具(例如CubeMX)点点鼠标就可以生成一个 freertos 的工程&#xff0…

【Python】解决Python报错:ModuleNotFoundError: No module named ‘xxx.yyy‘

🧑 博主简介:阿里巴巴嵌入式技术专家,深耕嵌入式人工智能领域,具备多年的嵌入式硬件产品研发管理经验。 📒 博客介绍:分享嵌入式开发领域的相关知识、经验、思考和感悟,欢迎关注。提供嵌入式方向…