Vivado Lab Edition

news2024/9/20 12:39:05
Vivado ® Lab Edition 是完整版 Vivado Design Suite 的独立安装版本 包含在生成比特流后对赛灵思 FPGA 进行编程和 调试所需的所有功能。通常适用于在如下实验室环境内进行编程和调试: 实验室环境中的机器所含磁盘空间、内存和连 接资源较少。Vivado Lab Edition 占用资源较少 安装包大小为 1 GB 安装后占用空间约 2.4 GB
安装
要安装 Vivado Lab Edition 请从 Unified Installer 中选择 Lab Edition 。 如需获取详细的安装、许可与版本信息, 请参阅《 Vivado Design Suite 用户指南 版本说明、安装和许可》 ( UG973 )
Windows 上启动 Vivado Lab Edition
要启动 Vivado Lab Edition 请依次单击
Start ” → “ All Programs ” → “ Xilinx Design Tools ” → “ Vivado Lab 2020.2 ” → “ Vivado Lab 2020.2
Windows Linux 上从命令行启动 Vivado Lab Edition
在命令提示符处输入以下命令
vivado_lab
提示 要在命令提示符处运行 vivado_lab 请根据操作系统平台类型 使用以下 2 个脚本之一来设置您的环境
C:\Xilinx\Vivado_Lab\2020.x\settings32.(bat|sh)
C:\Xilinx\Vivado_Lab\2020.x\settings64.(bat|sh)
您可从任意目录打开 Vivado Lab Edition 。但赛灵思建议从可写入的工程目录运行 Vivado Lab Edition 因为其日志记录 文件将写入启动目录。从命令提示符运行时, 请从工程目录启动 Vivado IDE 或者使用 vivado_lab -log journal 选项 来指定位置。使用 Windows 快捷方式时 必须修改快捷方式的“从文件夹启动 (Start in folder) ”属性。如果不从可写 入的工程目录启动, 则会导致出现警告 并且该工具可能出现不可预测的行为。
使用 Vivado Lab Edition
启动 Vivado Lab Edition 会显示“开始使用 (Getting Started) ”页面 请参阅下图 并为您提供不同选项 以帮助 您开始使用 Vivado Lab Edition
开始处理工程
要对设计进行编程或调试 可以创建或打开工程 然后连接到目标服务器和器件。“ Getting Started ”页面的“ Quick Start”部分提供了便于访问以下任务的相应链接
• 创建工程。
• 打开现有工程
注释 您也可以从“ Recent Projects ”列表中打开最近访问的工程。
打开硬件管理器
您可打开 Vivado Design Suite 硬件管理器以将自己的设计比特流下载至器件。硬件管理器的 Vivado Logic Analyzer 和 Vivado Serial I/O Analyzer 功能可用于调试设计。例如 您可将 ILA VIO JTAG-to-AXI 核添加到自己的设计中 以 便在 Vivado Logic Analyzer 中进行调试 或者也可以使用来自赛灵思 IP 目录的 IBERT 设计示例通过 Vivado Serial I/O Analyzer 对设计中的 GT 进行测试和配置。
复查文档和视频
在“ Getting Started ”页面上 您可使用赛灵思 Documentation Navigator 来访问各种文档 包括用户指南、教程、视 频和版本说明等。
Vivado Lab Edition 工程
Vivado Lab Edition 允许用户在实验室内创建工程。所有相关编程和运行时调试首选项和设置都存储在该工程内。重新 打开该工程时, 这些设置和首选项将复原到该工具中。在 Vivado Lab Edition 工具和 Vivado Design Suite 中均可创建 Vivado Lab Edition 工程。
创建新工程
要在 Vivado Lab Edition 中创建新工程 请单击“ Create New Project ”图标 如下所示。在“新建 Vivado Lab Edition 工程 (New Vivado Lab Edition Project) ”对话框中输入工程名称和位置。创建新工程时 Vivado Lab Edition 会创建工 程文件。此工程文件名与“New Vivado Lab Edition Project ”对话框中输入的工程名称相同 且带有 .lpr 扩展名。请 参阅下图。
使用 Tcl 命令创建工程
您也可使用 Tcl 命令创建工程。在 Vivado Lab Edition Tcl 控制台 (Tcl Console) 中输入以下命令 或者使用 source 命 令从 Tcl 文件中找到这些命令。
create_project project_1 C:/Lab_edition/project_1
打开工程
要打开现有工程 请单击打开工程图标 如下图所示 或者双击“ Recent Projects ”列表中的工程。这样即可打开资源 管理器, 以便您打开任意 Vivado Lab Edition 工程文件 扩展名为 .lpr 。默认情况下 在“最近的工程 (Recent Projects)”列表中会列出最近打开的 10 个工程。要更改此数量 请单击“ Tools ” → “ Settings ”并更新“工程 (Project)”选项。 Vivado Lab Edition 会先检查确认工程数据可用 然后再显示工程。
使用 Tcl 命令打开工程
您还可使用 Tcl 命令打开工程。在 Vivado Lab Edition 的“ Tcl Console ”中输入以下命令 或者使用 source 命令 从 .tcl 文件找到以下命令。
open_project C:/Lab_edition/project_1/project_1.lpr
Vivado Lab Edition 中使用现有器件镜像和调试探针文件
您可使用现有器件镜像 .bit .pdi .ltx 文件 此镜像和文件源自装有 Vivado Lab Edition 的实验室机器中 先前运行的实现。
典型流程包括
1. 创建新的 Vivado Lab Edition 工程。
2. 连接到开发板。
3. 为工程指定 .bit .pdi 文件和 .ltx 文件。
4. 您可将这些文件手动复制到网络驱动器 或者将其直接指向网络驱动器。
5. 对器件进行编程。
6. 在硬件中调试设计。
7. 更改结果将即时保存到工程中。
8. 用户首选项、运行时管理器调试仪表板和窗口设置将即时保存到工程中。
9. 重新打开工程时 用户首选项、运行时管理器调试仪表板和窗口设置都将恢复。
使用来自 Vivado Design Suite Edition 的现有 .lpr 工程
当您使用硬件管理器对工程中的设计进行编程和 / 或调试时 Vivado Design Suite 会在工程启动时创建 .lpr 文件 并 在其中填充相应的详细信息。此文件位于 project_name.hw 目录中 且名为 project_name.lpr 。在 Vivado Lab Edition 中可打开此工程文件。
典型流程包括
1. 单击 Vivado Lab Edition 开始页面上的“ Open Project ”图标。
2. 遍历至 project_name.hw 目录 此目录位于 Vivado IDE 工程目录中。
3. 选择位于 project_name.hw 目录中的 .lpr 工程文件 然后单击“ OK ”。
4. 连接至您的硬件。
5. 使用正确的器件镜像文件以及来自相应 Vivado 运行目录的 .ltx 文件执行编程和调试。
6. 打开工程时 用户首选项、运行时管理器调试仪表板和窗口设置都将恢复。
编程功能
打开工程并将硬件管理器与目标器件相连后 即可在 Vivado Lab Edition 中使用 Vivado Design Suite 所提供的所有编 程功能。所有编程相关 Tcl 命令在 Vivado Lab Edition 中都受支持。如需获取有关可用编程功能的更多详细信息 请参 阅“配置存储器器件编程”。
调试功能
打开工程并将硬件管理器与目标器件相连后 即可在 Vivado Lab Edition 中使用 Vivado Design Suite 所提供的所有调 试功能。所有调试相关 Tcl 命令在 Vivado Lab Edition 中都受支持。如需了解有关可用的调试功能的更多详细信息 请 参阅本用户指南的“在硬件中调试逻辑设计”部分。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1553418.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

B2902A是德科技B2902A精密型电源

181/2461/8938产品概述: Agilent B2902A 精密源/测量单元 (SMU) 是一款 2 通道、紧凑且经济高效的台式 SMU,能够源和测量电压和电流。它用途广泛,可以轻松、高精度地执行 I/V(电流与电压)测量。4 象限源和测量功能的集…

Android room 在dao中不能使用挂起suspend 否则会报错

错误&#xff1a; Type of the parameter must be a class annotated with Entity or a collection/array of it. kotlin.coroutines.Continuation<? super kotlin.Unit> $completion); 首先大家检查一下几个点 一、kotlin-kapt 二、 是否引入了 room-ktx 我是2024年…

JAVA的NIO和BIO底层原理分析

文章目录 一、操作系统底层IO原理1. 简介2. 操作系统进行IO的流程 二、BIO底层原理1. 什么是Socket2. JDK原生编程的BIO 三、Java原生编程的NIO1. 简介2. NIO和BIO的主要区别3. Reactor模式4. NIO的三大核心组件5. NIO核心源码分析 一、操作系统底层IO原理 1. 简介 IO&#x…

Untiy 布局控制器Aspect Ratio Fitter

Aspect Ratio Fitter是Unity中的一种布局控制器组件&#xff0c;用于根据指定的宽高比来调整包含它的UI元素的大小。实际开发中&#xff0c;它可以确保UI元素保持特定的宽高比&#xff0c;无论UI元素的内容或父容器的大小如何变化。 如图为Aspect Ratio Fitter组件的基本属性&…

驾照自助机体检色盲检测第六版

相关文章&#xff1a; 驾驶证自助机换证流程 色弱如何识别色盲检测图 数字类 1-3张原图 题目&#xff1a;请根据图片&#xff0c;从左至右&#xff0c;填写出3位正确的数字 题目&#xff1a;请根据图片&#xff0c;从左至右&#xff0c;填写出2位正确的数字 题目&#…

|行业洞察·汽车|《新能源汽车行业发展及营销策略分析-35页》

报告的主要内容解读&#xff1a; 行业环境&#xff1a;报告指出&#xff0c;海外车企的电动化进程遇到阻碍&#xff0c;而中国新能源汽车市场持续增长&#xff0c;2023年销量占全球新能源汽车的63.5%&#xff0c;市占率达到31.6%。 市场政策&#xff1a;中国政府通过减免税收、…

机器学习优化算法(深度学习)

目录 预备知识 梯度 Hessian 矩阵&#xff08;海森矩阵&#xff0c;或者黑塞矩阵&#xff09; 拉格朗日中值定理 柯西中值定理 泰勒公式 黑塞矩阵&#xff08;Hessian矩阵&#xff09; Jacobi 矩阵 优化方法 梯度下降法&#xff08;Gradient Descent&#xff09; 随机…

腾讯云4核8G服务器多少钱?12M带宽646元15个月,买1年送3月

2024年腾讯云4核8G服务器租用优惠价格&#xff1a;轻量应用服务器4核8G12M带宽646元15个月&#xff0c;CVM云服务器S5实例优惠价格1437.24元买一年送3个月&#xff0c;腾讯云4核8G服务器活动页面 txybk.com/go/txy 活动链接打开如下图&#xff1a; 腾讯云4核8G服务器优惠价格 轻…

离线Linux/openEuler服务器指定本地yum仓库

1、前提准备一个预装坏境比较完整的linux镜像文件&#xff0c;本文服务器使用的是openEuler 官网&#xff1a;openEuler下载 | 欧拉系统ISO镜像 | openEuler社区官网 2、上传镜像文件至服务器 如果是集群服务器&#xff0c;上传其中一台服务器之后&#xff0c;使用scp指令将镜…

PowerBI加权计算权重

1.打开主页&#xff0c;点击快速度量值 2.计算里面 选择计算&#xff1a;每个类别的加权平均值 3.就是添加数据&#xff0c;基值&#xff08;就是你要计算的值&#xff09;粗细&#xff08;就是你要用那个值计算权重&#xff09;类别&#xff08;就是你是要乘以那个类别&#x…

黑群晖基于docker配置frp内网穿透

前言 我的黑群晖需要设置一下内网穿透来外地访问&#xff0c;虽然zerotier的p2p组网已经很不错了&#xff0c;但是这个毕竟有一定的局限性&#xff0c;比如我是ios的国区id就下载不了zerotier的app&#xff0c;组网不了 1.下载镜像 选择第一个镜像 2.映射文件 配置frpc.ini&a…

Flutter 拦截系统键盘,显示自定义键盘

一、这里记录下在开发过程中&#xff0c;下单的时候输入金额需要使用自定义的数字键盘 参考链接: https://juejin.cn/post/7166046328609308685 效果图 二、屏蔽系统键盘 怎样才能够在输入框获取焦点的时候&#xff0c;不让系统键盘弹出呢&#xff1f;同时又显示我们自定义的…

拉里·埃里森 (Larry Ellison) 概述了 Oracle 的生成式 AI 战略

翻译自&#xff1a;拉里埃里森 (Larry Ellison) 概述了 Oracle 的生成式 AI 战略 甲骨文董事长兼首席技术官拉里埃里森概述了该公司如何将生成式人工智能 (GenAI) 嵌入其庞大的云服务组合中&#xff0c;旨在帮助客户和整个社会解决最棘手的问题。 在 Oracle CloudWorld 的主题…

Collection集合 --java学习笔记

Collection Collection是单列集合的祖宗&#xff0c;它规定的方法&#xff08;功能&#xff09;是全部单列集合都会继承的 List系列集合&#xff1a;List系列集合&#xff1a;ArrayList、LinkedList --java学习笔记-CSDN博客 Set系列集合&#xff1a;Set系列集合&#xff1a;…

08_会话技术

文章目录 前置知识点会话技术Conversation客户端技术CookieCookie的格式Cookie的优缺点构造Cookie信息通过浏览器构造Cookie通过Postman构造Cookie通过服务器构造Cookie 获取Cookie信息Cookie中的信息PathDomainMaxAge 案例&#xff08;cookie相关&#xff09; 服务器技术Sessi…

MD5 计算 (加密辅助类, Win32, C++)

CCryptHelper.h #pragma once #include <string> #include <tchar.h> #include <windows.h>#ifdef _UNICODE using _tstring std::wstring; #else using _tstring std::string; #endif// 加密辅助类 // 客户端: Windows XP 及以上系统可用 // 服务器: Win…

VESTA模拟计算XRD标准卡片

先上Crystallography Open Database网站下载标准CIF卡片&#xff08;以PbI2为例&#xff09; 1.直接进网站搜元素就行 2.点CIF直接下载 3.打开VESTA&#xff0c;导入刚刚下载的CIF 4.导入成功就是这样的 5.按照我这个操作来计算 6.点Calculation 7.已经计算出来了&#xff…

政安晨:专栏目录【TensorFlow与Keras实战演绎机器学习】

政安晨的个人主页&#xff1a;政安晨 欢迎 &#x1f44d;点赞✍评论⭐收藏 收录专栏: TensorFlow与Keras实战演绎机器学习 希望政安晨的博客能够对您有所裨益&#xff0c;如有不足之处&#xff0c;欢迎在评论区提出指正&#xff01; 本篇是作者政安晨的专栏《TensorFlow与Keras…

cf937Div4E题F题

题目要找到一个长为k的子串,使得x个相同的k相连长度和s相同且对应字符中只能有一个地方对应的字符不同, 那是不是说明s也能分成x段,且最多有一段中的一个字符不同,否则就不满足要求,那我们现在要讨论这个不同的字符在哪,如果在第一段比如sabaa aaaa aaaa aaaa,如果我们取了abaa…

怎么评价小米汽车SU7?

编辑搜图 请点击输入图片描述&#xff08;最多18字&#xff09; 小米汽车SU7&#xff1a;电动智能驾驶的新篇章 随着全球汽车产业的深度变革&#xff0c;新能源汽车、智能驾驶等概念逐渐深入人心。在这场汽车产业的革新中&#xff0c;小米汽车SU7无疑是一个引人注目的焦点。这…