2024年数字IC秋招-复旦微电子-数字前端/验证-笔试题

news2024/9/25 1:13:48

文章目录

  • 前言
  • 一、基础题/选做题
    • 1、什么是DMA,主要优点是什么,为什么这是它的优点
    • 2、SV的代码如下,给出$display中变量的值
    • 3、列出4bit格雷码编码,画出二进制码转格雷码电路图
    • 4、如何从慢时钟域捕获快时钟域脉冲信号,画出电路图或写出verilog代码
    • 5、请分别计算下图所示时序路径的setup slack和hold slack,并判断是否存在违例。各个器件和组合逻辑延时如图所示,其中DFF1和DFF2的setup时间为0.2 ns, hold为0.1 ns,时钟CLK周期为10 ns.
    • 6、谈谈对DFT的理解
    • 7、如果在电路中使用如下两种结构的时钟门控电路:
    • 8、请根据如下简单通讯电路的描述,给出验证思路或者方案
    • 9、用条件编译语句generate实现如下电路
    • 10、如下图所示,有两个异步时钟CLK1、CLK2,均为高速时钟,Q1为CLK1时钟域的信号,CLK2对Q1采样。请问可否以STA保证路径的时序?为什么?
    • 11、仿真不能继续进行,stuck在一点不动,可能是什么原因?
    • 12、请描述验证的考核指标覆盖率有哪些?并且简述其意义是什么。
    • 13、检测一个输入信号i_s,当i_s宽度大于等于一个clock时钟周期时,在下一个时钟沿输出信号o_s为一个clock时钟周期的高电平。请用verilog语言实现。(声明、模块头可以省略)
    • 14、请问如下电路中,所使用的两级同步电路是否正确?为什么?
    • 15、如何测试如图所示电路的SA0 fault?请写出所需加载激励以及对应输出。
    • 16、什么是竞争与冒险,解决竞争与冒险的方式有哪些?
    • 17、请简述集成电路设计流程,并给出该流程中各环节所用到的EDA工具(注:不局限于数字前端;各环节至少给出一种EDA工具)
    • 18、请简述latch与DFF的区别
    • 19、使用verilog function方式实现下面的prbs多项式,输出位宽为20bit:
    • 20、以下电路中,路径FF0/CK -> FF1/RN是否可以通过STA保证其时序满足器件要求?
    • 21、关于task的调用问题验证平台,的输出是什么?
    • 22、一个简单的仿真验证平台,有哪几部分构成?仿真平台应提供哪些关键信号?UVM都有哪些组件?什么是工厂模式?
    • 23、用CMOS电路画出以下表达式:AB+~(CD)
    • 24、数字电路采用Verilog完成设计,请问什么是RTL级设计?
    • 25、阻塞赋值与非阻塞赋值的区别,并举例一个简单的代码。


前言

笔试题型:基础题(10个) + 选做题(5个)
笔试平台:百一测试(电脑监控)
笔试时间:2h

做题感受:题目全部都是大题目,题量很大,压根写不完,而且做完一个部分才能跳到下一个部分,建议想不出来思路的直接立马放弃做下面的题目。


一、基础题/选做题

1、什么是DMA,主要优点是什么,为什么这是它的优点

2、SV的代码如下,给出$display中变量的值

class Packet;
	rand intlen;
	constraint c_short {len inside {[50-100]};}
	constraint c_long {len inside {[500:1000]};}
endclass
Packet P;
initial begin
	p =new();
	p.c_short.constraint_mode(0);
	assert (p.randomize());
	$display("len = %0d".p.len);
end
class ori_tran; 
	rand bit[31:0] i_a;
	rand bit[31:0] i_b;
	constraint test_cons{
		i_a == 'h10;
		i_ b== 'h12;}
endclasse
class div_tran extends ori_ran;
constraint test_cons{
	i_b == 'h22;
}
endclass
initial begin
	p=new();
	p.c_shoxt.constraint.mode(0);
	assert(p.randomize());
	$display("len = %0d",p.len);
end
class ori_tran; 
	rand bit[31:0] i_a;
	rand bit[31:0] i_b;
	constraint test_cons{
		i_a == 'h10;
		i_ b== 'h12;}
endclasse
class div_tran extends ori_ran;
constraint test_cons{
	i_b == 'h22;
}
endclass
initial begin
	p=new();
	assert(p.randomize());
	$display("i_a = %0h, i_b = %0h",p.i_a, p.i_b);
end

3、列出4bit格雷码编码,画出二进制码转格雷码电路图

4、如何从慢时钟域捕获快时钟域脉冲信号,画出电路图或写出verilog代码

5、请分别计算下图所示时序路径的setup slack和hold slack,并判断是否存在违例。各个器件和组合逻辑延时如图所示,其中DFF1和DFF2的setup时间为0.2 ns, hold为0.1 ns,时钟CLK周期为10 ns.

在这里插入图片描述

6、谈谈对DFT的理解

7、如果在电路中使用如下两种结构的时钟门控电路:

在这里插入图片描述
(1)请问会对电路产生什么影响(至少两种)?请画出相关时序图进行说明
(2)如何针对上述两种电路进行相应的修改?请画出电路结构图,并附上时序图进行说明如何消除上述影响

8、请根据如下简单通讯电路的描述,给出验证思路或者方案

该电路为单线通讯电路,并作为master器件,半双工工作,其信号线名字为RTX

  • master能发的命令只有1个且slave一定会给出响应,响应有2种:DONE、FAIL
  • master可通过输入信号start启动发命令,发完命令,立刻转入响应接收状态
  • slave回的响应,由于有被外部噪声干扰的可能,因此master接收slave的响应,有可能有以下几种情况
  1. slave应答DONE,master置起命令执行成功标志:CMD_DONE
  2. slave应答FAIL,master置起命令执行失败标志:CMD_FAIL
  3. slave应答既不是DONE也不是FAIL,master置起非法响应标志:SLV_ERR
    无论slave是上面哪种响应,master在置起标志后,都会重新回到等待发送命令状态
    假设在testbench中,以上所有三个标志信号以及启动信号start,全都是直接可见的,如下图所示:
    在这里插入图片描述

9、用条件编译语句generate实现如下电路

(1) 三输入的或门,位宽小于6
(2) 三输入与门,位宽等于8

10、如下图所示,有两个异步时钟CLK1、CLK2,均为高速时钟,Q1为CLK1时钟域的信号,CLK2对Q1采样。请问可否以STA保证路径的时序?为什么?

在这里插入图片描述

11、仿真不能继续进行,stuck在一点不动,可能是什么原因?

12、请描述验证的考核指标覆盖率有哪些?并且简述其意义是什么。

13、检测一个输入信号i_s,当i_s宽度大于等于一个clock时钟周期时,在下一个时钟沿输出信号o_s为一个clock时钟周期的高电平。请用verilog语言实现。(声明、模块头可以省略)

在这里插入图片描述

14、请问如下电路中,所使用的两级同步电路是否正确?为什么?

assign sig = cur_state[3:0]==4'b1010;
always @(posedge clk or negedge rst n)begin
	if(~rst_n) begin
		sig syn0 <= 1'b0;sig_syn1 <= 1'b0;end
	else begin
	sig syn0 <= sig:
	sig syn1 <= sig syno;
end

15、如何测试如图所示电路的SA0 fault?请写出所需加载激励以及对应输出。

在这里插入图片描述

16、什么是竞争与冒险,解决竞争与冒险的方式有哪些?

17、请简述集成电路设计流程,并给出该流程中各环节所用到的EDA工具(注:不局限于数字前端;各环节至少给出一种EDA工具)

18、请简述latch与DFF的区别

19、使用verilog function方式实现下面的prbs多项式,输出位宽为20bit:

x23+x18+1

20、以下电路中,路径FF0/CK -> FF1/RN是否可以通过STA保证其时序满足器件要求?

在这里插入图片描述

21、关于task的调用问题验证平台,的输出是什么?

initial begin
	#1 test(a);
end
task test(input bit a)
	if(a=2)
		$display("a=%d',a);
	else
	$display("HAHA!);
endtask

已知激励如下:

initial begin
	a=1;
	#2;
	a=2;
end

22、一个简单的仿真验证平台,有哪几部分构成?仿真平台应提供哪些关键信号?UVM都有哪些组件?什么是工厂模式?

23、用CMOS电路画出以下表达式:AB+~(CD)

24、数字电路采用Verilog完成设计,请问什么是RTL级设计?

25、阻塞赋值与非阻塞赋值的区别,并举例一个简单的代码。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1551827.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

盲水印脚本安装说明_bwm、_bwmforpy

此工具需要python2/python3 脚本下载地址https://gitcode.com/chishaxie/BlindWaterMark/tree/master?utm_sourcecsdn_blog_hover 直接下载压缩包解压 在python里面添加两个库&#xff0c;python.exe目录上方输入cmd pip install opencv-python python.exe -m pip install …

知攻善防应急靶场-Linux(1)

前言&#xff1a; 堕落了三个月&#xff0c;现在因为被找实习而困扰&#xff0c;着实自己能力不足&#xff0c;从今天开始 每天沉淀一点点 &#xff0c;准备秋招 加油 注意&#xff1a; 本文章参考qax的网络安全应急响应和知攻善防实验室靶场&#xff0c;记录自己的学习过程&am…

春秋云境CVE-2022-24663

简介 远程代码执行漏洞&#xff0c;任何订阅者都可以利用该漏洞发送带有“短代码”参数设置为 PHP Everywhere 的请求&#xff0c;并在站点上执行任意 PHP 代码。P.S. 存在常见用户名低权限用户弱口令 正文 进入首页我们没看到任何有价值的东西&#xff0c;那么就只好去寻找…

Nebula Graph-06-NebulaGraph Java 使用 和SpringBoot集成Nebula Graph

前言 系列文章&#xff1a; Nebula Graph-01-Nebula Graph简介和安装以及客户端连接 Nebula Graph-02-NebulaGraph高阶配置、用户管理、日志 Nebula Graph-03-NebulaGraph Studio-可视化web工具安装和使用 Nebula Graph-04-NebulaGraph nGQL的介绍和使用 Nebula Graph-05-Nebu…

38.基于SSM实现的传统文化网站系统(项目 + 论文)

项目介绍 随着信息技术在管理上越来越深入而广泛的应用&#xff0c;作为一个一般的企业都开始注重与自己的信息展示平台&#xff0c;实现传统文化网站在技术上已成熟。本文介绍了传统文化网站的开发全过程。通过分析传统文化的需求&#xff0c;创建了一个计算机管理传统文化网站…

Unity PS5开发 天坑篇 之 URP管线与HDRP管线部署流程以及出包介绍04

目录 一, URP管线、HDRP管线下的Unity项目部署 1. PS5开发论坛关于Unity可支持的版本说明: 2. URP管线下的项目与部署 2.1 Build PS5 URP Project 2.2 运行画面 3. HDRP管线下的项目与部署 3.1 附上可以运行的画面: 4. PS5打包方式介绍 4.1 PC串流调试模式: Build Typ…

幻兽帕鲁Palworld游戏服务器多少钱?价格表来了,建议收藏

2024年全网最全的幻兽帕鲁服务器租用价格表&#xff0c;阿里云幻兽帕鲁游戏服务器26元1个月、腾讯云32元一个月、京东云26元一个月、华为云24元1个月&#xff0c;阿腾云atengyun.com整理最新幻兽帕鲁专用4核16G、8核16G、8核32G游戏服务器租用价格表大全&#xff1a; 阿里云幻…

【Java核心能力】一篇文章了解 ZooKeeper 底层运行原理

欢迎关注公众号&#xff08;通过文章导读关注&#xff1a;【11来了】&#xff09;&#xff0c;及时收到 AI 前沿项目工具及新技术的推送&#xff01; 在我后台回复 「资料」 可领取编程高频电子书&#xff01; 在我后台回复「面试」可领取硬核面试笔记&#xff01; 文章导读地址…

Gartner 公布 2024 年八大网络安全预测

近日&#xff0c;Gartner 安全与风险管理峰会在悉尼举行&#xff0c;旨在探讨网络安全的发展前景。 本次峰会&#xff0c;Gartner 公布了 2024 年及以后的八大网络安全预测。 Gartner 研究总监 Deepti Gopal 表示&#xff0c;随着 GenAI 的不断发展&#xff0c;一些长期困扰网…

PL/SQL的词法单元

目录 字符集 标识符 分隔符 注释 oracle从入门到总裁:​​​​​​https://blog.csdn.net/weixin_67859959/article/details/135209645 PL/SQL块中的每一条语句都必须以分号结束。 一个SQL语句可以跨多行&#xff0c;但分号表示该语句的结束:一行中也可以有多条 SQL语句&…

C++从入门到精通——命名空间

命名空间 前言一、命名空间引例什么是命名空间 二、命名空间定义正常的命名空间定义嵌套的命名空间多个相同名称的命名空间 三、命名空间使用加命名空间名称及作用域限定符使用using将命名空间中某个成员引入使用using namespace 命名空间名称引用引用命名空间和引用头文件有什…

javaScript | 报错:JSX expressions must have one parent element

#错误记录&#xff1a;在做一个练习时候出现这个错误 #错误原因分析&#xff1a;在React和JSX中&#xff0c;每个JSX表达式都必须有一个父元素。这意味着你想要渲染的所有组件或元素都必须被一个单独的容器所包含。这个规则的原因是JSX最终会被编译成调用React.createElement()…

librdkafka的简单使用

文章目录 摘要kafka是什么安装环境librdkafka的简单使用生产者消费者 摘要 本文是Getting Started with Apache Kafka and C/C的中文版&#xff0c; kafka的hello world程序。 本文完整代码见仓库&#xff0c;这里只列出producer/consumer的代码 kafka是什么 本节来源&#…

腾讯云优惠券领取及使用常见问题解答

随着云计算的普及&#xff0c;腾讯云作为国内领先的云计算服务提供商&#xff0c;为越来越多的企业和个人提供了丰富的云产品和服务。为了帮助用户更好地了解和使用腾讯云优惠券&#xff0c;本文将为大家解答关于腾讯云优惠券领取及使用的常见问题。 一、腾讯云优惠券概述 腾讯…

幻兽帕鲁服务器多少钱?2024年Palworld服务器价格整理

2024年全网最全的幻兽帕鲁服务器租用价格表&#xff0c;阿里云幻兽帕鲁游戏服务器26元1个月、腾讯云32元一个月、京东云26元一个月、华为云24元1个月&#xff0c;阿腾云atengyun.com整理最新幻兽帕鲁专用4核16G、8核16G、8核32G游戏服务器租用价格表大全&#xff1a; 阿里云幻…

vue3+Pinia的使用 - 封装

目录&#xff1a; persist.ts 可存储到本地 import { PersistedStateOptions } from "pinia-plugin-persistedstate";/*** description pinia 持久化参数配置* param {String} key 存储到持久化的 name* param {Array} paths 需要持久化的 state name* return per…

EfficientVMamba:Atrous Selective Scan for LightWeightVisualMamba

摘要 https://arxiv.org/pdf/2403.09977.pdf 先前的轻量级模型开发努力主要集中在基于CNN和Transformer的设计上&#xff0c;但仍面临持续的挑战。CNN擅长局部特征提取&#xff0c;但会牺牲分辨率&#xff0c;而Transformer提供了全局范围&#xff0c;但会加剧计算需求 O ( N…

苹果CMS影视APP源码,二开版本带视频教程

编译app教程 工具下载&#xff1a;Android Studio 官网地址&#xff1a;https://developer.android.google.cn/studio/ 环境设置&#xff1a; 设置中文&#xff1a;https://blog.csdn.net/qq_37131111/article/details/131492844 汉化包找最新的下载就行了&#xff0c;随便下载…

如何压缩视频到最小?教会你压缩原理~

在网上上传视频时&#xff0c;经常会遇到因为视频体积过大上传失败等情况发生&#xff0c;怎么降低视频体积呢&#xff1f;科普一个小知识&#xff1a;视频体积和视频的时长、编码格式、分辨率和比特率&#xff08;又称码率&#xff09;有关。视频文件大小计算公式&#xff1a;…

脚本实现Ubuntu设置屏幕无人操作,自动黑屏

使用 xrandr 命令可以实现对屏幕的控制&#xff0c;包括调整分辨率、旋转屏幕以及关闭屏幕等。要实现 Ubuntu 设置屏幕在无人操作一段时间后自动黑屏&#xff0c;非待机&#xff0c;并黑屏后点击触摸屏可以唤醒屏幕&#xff0c;可以借助 xrandr 命令来实现。 首先&#xff0c;…