正点原子--STM32基本定时器学习笔记(2)

news2024/9/24 7:21:12

目录

1. 相关寄存器介绍

1.1 控制寄存器 1(TIMx_CR1)​编辑

1.2 DMA/中断使能寄存器(TIMx_DIER)

1.3 状态寄存器(TIMx_SR)

1.4 计数器(TIMx_CNT)

1.5 预分频器(TIMx_PSC)

1.6 自动重装载寄存器(TIMx_ARR)

2. 工程建立

3. 导入tim.c文件

4. 相关HAL库函数介绍 

4.1 HAL_TIM_Base_Init()

4.2 HAL_TIM_Base_MspInit()

4.3 HAL_TIM_Base_Start_IT()

5. 编写代码


书接上文,本篇是对基本定时器实验部分进行总结!

实验目标:通过TIM6基本定时器定时500ms,让LED0每隔500ms闪烁。

解决思路:使用定时器6,实现500ms产生一次定时器更新中断,在中断里执行“翻转LED0”。

定时器什么时候会产生更新中断呢?

有两种情况:第一种是定时器计数到ARR值后溢出,这时会伴随更新事件和更新中断的产生;第二种是通过软件的方式,设置UG位产生软件的更新中断,从而产生更新中断。


1. 相关寄存器介绍

首先我们来学习控制寄存器 1(TIMx_CR1)、DMA/中断使能寄存器(TIMx_DIER)、状态寄存器(TIMx_SR)、计数器(TIMx_CNT)、预分频器(TIMx_PSC)、自动重装载寄存器(TIMx_ARR)的功能。

1.1 控制寄存器 1(TIMx_CR1)

首先介绍位7,由上篇理论部分的笔记中我们知道:

影子寄存器:是实际起作用的寄存器,不能直接访问,而ARPE位决定了ARR是否具有缓冲,当设置为有缓冲时,ARR的预装载寄存器写入某个值,这个值不会立即起作用,必须等到更新事件发生时,才会把ARR的预装载寄存器的值转移到影子寄存器,从而真正起作用生效;而设置无缓冲时,给ARR的预装载寄存器写入某个值,它会立即转移到影子寄存器中,会立即生效。(立即生效的时间可能在ns或是us级)

预装载寄存器实际上起到一个缓冲的作用。

 比如:我们想让LED灯实现亮1s灭2s的功能,那么我们就需要对应操作ARR寄存器的值。假设系统时钟为72MHz,定时器预分频系数为7200,72000000/(7199+1)=10000,定时器将以10KHz的频率计数,即1s计10000个数,那么ARR值就为9999,倘若定时2s,ARR值需要修改为19999。

当ARPE配置为0,即ARR寄存器无缓冲时,我们先把ARR的值设为9999,定时1s,1s时间到达之后,需要再次操作ARR寄存器的值变为19999来定时2s,而操作ARR寄存器也需要一定时间(可能是ns或us级),相对于秒级来说微秒可以忽略不计了,但是如果定时的是50us,而操作ARR寄存器的时间也是微秒级,那就会有误差了!

当ARPE配置为1,即ARR寄存器有缓冲时,先让ARR设为9999生效,定时1s,这时我们再次修改ARR的值为19999,当1s到之后更新事件发生,才会把19999从ARR预装载寄存器转移到影子寄存器,从而节省了操作ARR寄存器的时间,精度也会很准确!

总结一下:有缓冲,提前写入,减少误差;无缓冲,按时写入,有误差。

再者介绍位0(CEN:计数器使能),默认情况下该位为0,计数器是关闭的状态;开启时把该位置1。

总结控制寄存器1在该实验的功能:用于设置ARR寄存器是否具有缓冲,使能/关闭计数器

1.2 DMA/中断使能寄存器(TIMx_DIER)

默认条件下,位8和位0都是0都是禁止状态。

当把位8置1即使能更新DMA请求,计数器计数溢出时就会产生DMA请求;

同理,把位0置1,当计数器溢出时会产生更新中断。此次实验用到了位0,没有用到位8。

总结中断使能寄存器在该实验的功能:用于使能更新中断

1.3 状态寄存器(TIMx_SR)

该寄存器只有位0有效,当计数器溢出时产生更新中断,该位被硬件置1,由程序编写清除。

总结:用于判断是否发生了更新中断,由硬件置1,软件清零

1.4 计数器(TIMx_CNT)

 16位的计数器,实时数值,可用于设置计时器初始值,范围:0~65535

1.5 预分频器(TIMx_PSC)

用于设置预分频系数,范围:0~65535,实际预分频系数等于PSC+1。

1.6 自动重装载寄存器(TIMx_ARR)

当更新时间发生时,才会把预装载寄存器的值传送到其对应的影子寄存器当中,用于设置自动重装载值,范围:0~65535。

总结:预分频器和自动重装载寄存器实际起作用的都是对应的影子寄存器。


2. 工程建立

介绍完相关寄存器之后,现在开始实操训练了。也是以正点原子HAL库 实验1 跑马灯实验为基础,相当于是工程模板了,我们复制工程,在“Drivers--BSP”目录下建立TIMER文件夹,并创建tim.c和tim.h文件;

3. 导入tim.c文件

导入方法和上篇帖子一样,不清楚的小伙伴可以参考⬇⬇⬇⬇⬇

正点原子--STM32中断系统学习笔记(2)

在tim.h文件中添加这部分代码(之后自己新建的.c和.h文件都会按照此模板创建)

#ifndef _TIM_H
#define _TIM_H
#include "./SYSTEM/sys/sys.h"

#endif

重要的一点是要添加hal库tim的驱动文件!!!不然编译不通过

4. 相关HAL库函数介绍 

4.1 HAL_TIM_Base_Init()

我们找到这个函数的定义,分别去HAL_StatusTypeDef和TIM_HandleTypeDef里面看看。

返回值: 

形参为定时器的句柄 :

下图为TIM6定时器的基地址 

定时器初始化结构体成员: 

Prescaler/*预分频系数*/      对应操作PSC寄存器;

CounterMode/*计数模式*/        基本定时器只有向上计数模式;

Period/*自动重载值*/        对应操作ARR寄存器;

ClockDivision/*时钟分频因子*/        基本定时器无该寄存器,只有通用/高级寄存器才需要配置;

RepetitionCounter/*重复计数器寄存器的值*/        基本/通用定时器都无该寄存器,只有高级定时器才有用

AutoReloadPreload/*自动重载预装载使能*/        对应控制寄存器1的位7:ARPE

在这次实验当中,我们只用到了三个:Prescaler、Period、AutoReloadPreload.

4.2 HAL_TIM_Base_MspInit()

该函数没有对应的寄存器,__weak是弱定义,用户可自己编写,主要在函数里存放NVIC、CLOCK、GPIO初始化代码。

4.3 HAL_TIM_Base_Start_IT()

中断处理函数和更新中断回调函数在之前的中断帖子有介绍,大同小异!

正点原子--STM32中断系统学习笔记(1)

5. 编写代码

配置思路:我们按照正点原子给的步骤一步步来配置,最重要的是学习配置思路,一通百通!!

①在定时器中断初始化函数里对定时器的参数进行配置(包括:基地址、自动重装载值、预分频值),以及使能更新中断并开启计数器;

②在定时器基础MSP初始化函数里,先进行检测是否为TIM6定时器,如果是TIM6,那么就使能TIM6时钟,并设置中断优先级和使能中断;这点和标准库配置有些差别,标准库是一开始就先使能时钟,而HAL库是在这个函数里完成那些功能!

③在TIM6中断服务函数里调用定时器中断公共处理函数,在定时器中断公共处理函数里进行清中断标志位,调用定时器中断回调函数HAL_TIM_IC_CaptureCallback()的操作。

④ 在定时器溢出中断回调函数里,先进行检测是否为TIM6定时器,如果是TIM6,那么就进行LED0的翻转。

执行流程:

在main函数里先进行定时器中断初始化配置,tim_it_init(4999, 7199);
定时500ms,时间一到便会产生定时器更新中断,进入TIM6中断服务函数,执行定时器中断公共处理函数(进行清中断标志位,调用定时器中断回调函数,在回调函数里进行LED灯的翻转)

以下是tim.c的代码:

#include "./BSP/TIMER/tim.h"
#include "./BSP/LED/led.h"

TIM_HandleTypeDef tim_handle;

/* 定时器中断初始化函数 */
void tim_it_init(uint16_t arr, uint16_t psc)
{
	tim_handle.Instance = TIM6;
	tim_handle.Init.Prescaler = psc;
	tim_handle.Init.Period = arr;
	HAL_TIM_Base_Init(&tim_handle);		        /* 配置定时器基础工作参数 */
	HAL_TIM_Base_Start_IT(&tim_handle);		    /* 使能更新中断并启动计数器 */
}

/* 定时器基础MSP初始化函数 */
void HAL_TIM_Base_MspInit(TIM_HandleTypeDef *htim)
{
	if(htim->Instance == TIM6)						/* 判断定时器的基地址是否为TIM6 */
	{
		__HAL_RCC_TIM6_CLK_ENABLE();			    /* 使能定时器6时钟 */
		HAL_NVIC_SetPriority(TIM6_IRQn, 0, 0);		/* 设置优先级 */
		HAL_NVIC_EnableIRQ(TIM6_IRQn);				/* 使能中断 */
	}
}

/* 定时器6中断服务函数 */
void TIM6_IRQHandler(void)
{
	HAL_TIM_IRQHandler(&tim_handle);		/* 定时器中断公共处理函数 */
}

/* 定时器溢出中断 中断回调函数 */
void HAL_TIM_PeriodElapsedCallback(TIM_HandleTypeDef *htim)
{
	if(htim->Instance == TIM6)			/* 判断定时器的基地址是否为TIM6 */
	{
		LED0_TOGGLE();
		LED1_TOGGLE();
	}
}

main.c代码: 

#include "stm32f1xx_it.h"
#include "./SYSTEM/sys/sys.h"
#include "./SYSTEM/delay/delay.h"
#include "./SYSTEM/usart/usart.h"
#include "./BSP/LED/led.h"
#include "./BSP/TIMER/tim.h"

int main(void)
{
    HAL_Init();                                 /* 初始化HAL库 */
    sys_stm32_clock_init(RCC_PLL_MUL9);         /* 设置时钟,72M */
    delay_init(72);                             /* 初始化延时函数 */
    led_init();                                 /* 配置STM32操作LED相关的寄存器 */
    tim_it_init(4999, 7199);					/* 初始化定时器 */
    
	while(1){}
}

以上就是基本定时器实验的所有内容了! 


本篇完。

本人博客仅代表个人见解方便记录成长笔记。

若有不足,请指出,感谢您的阅读!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1439473.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

购物车商品数量为0判断是否删除

当编辑商品的数量为1,再减的话,我们搞个模态提示,让用户决定是否要删除这个商品? //商品数量的编辑功能handleItemNumEdit(e){const {operation,id}e.currentTarget.dataset;console.log(operation,id);let {cart}this.data;let …

13. UE5 RPG限制Attribute的值的范围以及生成结构体

前面几章,我们实现了通过GameplayEffect对Attribute值的修改,比如血量和蓝量,我们都是有一个最大血量和最大蓝量去限制它的最大值,而且血量和蓝量最小值不会小于零。之前我们是没有实现相关限制的,接下来,我…

3.1-媒资管理之需求分析+搭建Nacos

文章目录 媒资管理模块1 模块需求分析1.1 模块介绍1.2 业务流程1.2.1 上传图片1.2.2 上传视频1.2.3 处理视频1.2.4 审核媒资 2.2 搭建Nacos2.2.1 服务发现中心2.2.2 配置中心2.2.2.1 配置三要素2.2.2.3配置content-api 2.2.3 公用配置2.2.4 配置优先级2.2.5 导入配置文件2.2.6 …

三、设计模式相关理论总结

一、面向对象编程 1.1 概述 简称Object Oriented Program(OOP),指以类或对象作为基础组织单元,遵循封装、继承、多态以及抽象等特性,进行编程。其中面向对象不一定遵循封装、继承、封装和多态等特性,只是前人总结的套路规范&…

前端JavaScript篇之对闭包的理解

目录 对闭包的理解用途循环中使用闭包解决 var 定义函数的问题 对闭包的理解 闭包是指一个函数能够访问并操作其词法作用域(定义时所在的作用域)之外的变量的能力。它可以通过在一个函数内部创建另一个函数来实现。内部函数可以访问外部函数的局部变量、…

Python算法题集_两数相加

Python算法题集_两数相加 题2:两数相加1. 示例说明2. 题目解析- 题意分解- 优化思路- 测量工具 3. 代码展开1) 标准求解【直接相加】2) 改进版一【对齐链表】3) 改进版二【数组求和】 4. 最优算法 本文为Python算法题集之一的代码示例 题2:两数相加 1.…

相机图像质量研究(10)常见问题总结:光学结构对成像的影响--光圈

系列文章目录 相机图像质量研究(1)Camera成像流程介绍 相机图像质量研究(2)ISP专用平台调优介绍 相机图像质量研究(3)图像质量测试介绍 相机图像质量研究(4)常见问题总结:光学结构对成像的影响--焦距 相机图像质量研究(5)常见问题总结:光学结构对成…

Quicker读取浏览器的书签(包括firefox火狐)

从edge换了火狐,但是quicker不能读取本地的bookmarks文件了,就研究了一下。 方法1:读取本地Bookmarks文件(仅谷歌内核浏览器) 谷歌内核的浏览器本地会有Bookmarks文件,放了所有的书签数据,直接…

我的docker随笔43:问答平台answer部署

本文介绍开源问答社区平台Answer的容器化部署。 起因 笔者一直想搭建一个类似stack overflower这样的平台,自使用了Typora,就正式全面用MarkdownTyporagit来积累自己的个人知识库,但没有做到web化,现在也还在探索更好的方法。 无…

MyBatisPlus基础操作之增删改查

目录 一、基本使用 1.1 插入数据 1.2 删除操作 1.3 更新操作 二、条件构造器Wrapper 2.1 常用AbstractWrapper方法 2.1.1 示例一 2.2.2 示例二 2.2.3 示例三 2.2 常用QueryWrapper方法 2.2.1 示例一 2.2.2 示例二 2.2.3 示例三(常用) 2.3 常…

PgSQL技术内幕 - case when表达式实现机制

PgSQL技术内幕 - case when表达式实现机制 CASE表达式如同 C语言中的if/else语句一样,为SQL添加了条件逻辑处理能力,可以根据不同条件返回不同结果。PgSQL支持两种语法:简单表达式和搜索表达式。 1、搜索表达式 语法如下: CASE WH…

android studio下开发flutter

文章目录 1. 配置环境 https://flutter.cn/docs/get-started/install2. android studio下开发flutter 1. 配置环境 https://flutter.cn/docs/get-started/install 2. android studio下开发flutter 打开Android Studio -> File -> Settings -> Plugins 搜索Dart插件 …

java_error_in_pycharm.hprof文件是什么?能删除吗?

java_error_in_pycharm.hprof文件是什么?能删除吗? 🌵文章目录🌵 🌳引言🌳🌳hprof格式文件介绍🌳🌳java_error_in_pycharm.hprof文件什么情况下能删除🌳&…

简化版SpringMVC

简化版SpringMVC web.xml xml version"1.0" encoding"UTF-8"?> <web-app version"2.5" xmlns"http://java.sun.com/xml/ns/javaee" xmlns:xsi"http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation&quo…

科技王座“座次变更”:英伟达超越亚马逊在即,距离谷歌也不远

英伟达的市值即将超过亚马逊&#xff0c;为二十年来首次。 截至2月7日收盘&#xff0c;英伟达市值达到1.73万亿美元&#xff0c;逼近亚马逊1.77万亿美元的市值&#xff0c;距离谷歌1.82万亿美元的市值也不远。 对人工智能的热情推升英伟达的股价去年以来一路飙涨&#xff0c;受…

【开源】基于JAVA+Vue+SpringBoot的新能源电池回收系统

目录 一、摘要1.1 项目介绍1.2 项目录屏 二、功能模块2.1 用户档案模块2.2 电池品类模块2.3 回收机构模块2.4 电池订单模块2.5 客服咨询模块 三、系统设计3.1 用例设计3.2 业务流程设计3.3 E-R 图设计 四、系统展示五、核心代码5.1 增改电池类型5.2 查询电池品类5.3 查询电池回…

nginx登录用户验证配置

我们的nginx端口一般都是对外开放的&#xff0c;所以有一定程度上有被别人扫描的风险&#xff0c;所以为了减少被扫描的风险&#xff0c;我们可以配置一个nginx的用户登录验证&#xff1b; 用户验证登录需要nginx的一个模块&#xff1a;ngx_http_auth_basic_module 我们使用…

MySQL 时间索引的选择

背景 MySQL 在使用过程中经常会对时间加索引&#xff0c;方便进行时间范围的查询&#xff0c;常见的时间类型有 data、datetime、long、timestamp 等&#xff0c;在此分析下这几种时间类型的索引大小&#xff0c;以找到比较合适的时间类型。 时间类型对比 常用的索引类型是 …

SolidWorks学习笔记——入门知识2

目录 建出第一个模型 1、建立草图 2、选取中心线 3、草图绘制 4、拉伸 特征的显示与隐藏 改变特征名称 5、外观 6、渲染 建出第一个模型 1、建立草图 图1 建立草图 按需要选择基准面。 2、选取中心线 图2 选取中心线 3、草图绘制 以对称图形举例&#xff0c;先画出…

市场复盘总结 20240207

仅用于记录当天的市场情况&#xff0c;用于统计交易策略的适用情况&#xff0c;以便程序回测 短线核心&#xff1a;不参与任何级别的调整&#xff0c;采用龙空龙模式 一支股票 10%的时候可以操作&#xff0c; 90%的时间适合空仓等待 二进三&#xff1a; 进级率中 75% 最常用…