【Vitis】基于C++函数开发组件的步骤

news2024/9/22 5:09:55

目录

基本步骤

关键领域

• 硬件接口:

任务级并行度:

存储器架构:

微观级别的最优化:


基本步骤

1. 基于 设计原则 建立算法架构。

2. (C 语言仿真) 利用 C/C++ 语言测试激励文件验证 C/C++ 代码的逻辑。

3. (代码分析器) 分析 C/C++ 代码的性能、并行度与合规性。

4. (C 语言综合) 使用 v++ 编译器生成 RTL。

5. (C/RTL 协同仿真) 验证使用 C/C++ 测试激励文件生成的 RTL 代码。

6. (封装) 复查 HLS 综合报告和实现时序报告。

7. 重新运行前述步骤直至满足性能目标为止。

Vitis HLS 基于目标流程、默认工具配置、设计约束和您指定的任意最优化编译指示或指令来生成 Vivado IP 或 Vitis 内核。您可使用最优化指令来修改和控制内部逻辑和 I/O 端口的实现, 以覆盖工具的默认行为。

关键领域

以下提供了有关在 HLS 设计中进行 C++ 函数编码与综合的部分关键领域信息, 在后续章节内将涵盖更多详细信息:

• 硬件接口:

Vitis HLS 设计的顶层函数的实参均综合到接口和端口内, 这些接口和端口通过将多个信号加以组合来定义 HLS 设计与设计外部的组件之间的通信协议。 Vitis HLS 会自动定义接口, 并使用业界标准来指定要使用的协议。根据 HLS 设计目标是 Vivado IP 生成还是 Vitis 内核, 默认接口协议不尽相同。接口的默认分配可通过使用INTERFACE 编译指示或指令来覆盖。

• 控制 HLS 设计的执行: HLS 设计的执行模式是由块级控制协议来指定的。 HLS 设计可包含控制信号, 用于启动/停止执行, 也可设置为仅当数据可用时才驱动 HLS 设计。作为设计人员, 您需要注意自己的 HLS 设计的执行方式,如 HLS 设计的执行模式 中所述。

任务级并行度:

• 为了在生成的硬件上实现高性能, HLS 工具必须基于顺序代码推断并行度, 并利用它来实现更高的性能。 设计原则 章节介绍了三个主要范例, 要为 FPGA 平台编写优秀软件, 就需要了解这三个范例。 Vitis HLS 工具通过指定 DATAFLOW 编译指示或者使用 hls::task 对象, 提供了多种类型的任务级并行度 (TLP), 如 HLS 抽象并行编程模型 中所述。

存储器架构:

• 存储器架构在 CPU 中已固定, 但开发者可以创建自己的架构来最优化存储器访问, 以便在 FPGA 上运行应用

• 在 C++ 程序中, 阵列是基础数据结构, 用于保存或移动数据。在硬件中完成综合后, 这些阵列是作为存储器或寄存器来实现的。存储器可作为本地存储器或全局存储器(通常是 DDR 或 HBM 存储体) 来实现。访问全局存储器会产生更高的时延成本, 可耗时大量周期, 而访问本地存储器通常十分快速, 只需一个或多个周期即可。

• 通常在 C++ 程序中, 对存储器进行动态分配/解除分配, 但这在硬件中无法进行综合。因此, 设计师需知晓算法所需存储器的精确数量。

• 存储器访问应加以最优化, 以减少全局存储器访问的开销。冗余访问表示最大程度利用连续访问, 以便能够推断突发。突发访问会隐藏存储器访问时延, 并改善存储器带宽。

微观级别的最优化:

• 在 C++ 程序中, 经常需要实现重复算法来处理数据块, 例如, 信号处理或图像处理。通常, C/C++ 源代码倾向于包含多个循环或多个嵌套循环。 Vitis HLS 可通过在源代码的相应级别插入编译指示来对循环或嵌套循环进行展开或流水打拍。如需了解更多信息, 请参阅 循环入门。

• 基于设计原则完成算法架构并推断并行度后, 您仍需要正确组合微观级别的 HLS 编译指示, 如, PIPELINE、UNROLL、 ARRAY_PARTITION 等。这些编译指示可能不会直观展示给用户。 Vitis HLS 提供了PERFORMANCE 编译指示用于为循环或嵌套循环的给定主体指定顶级性能目标。该工具将自动推断必要的低层次编译指示以满足该目标。借助 PERFORMANCE 编译指示可以减少实现理想 QoR 所需的编译指示, 这也是驱动该工具的一种直观方法

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1436790.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

2024/2/6学习记录

ts 因为已经学习过了 js ,下面的都是挑了一些 ts 与 js 不同的地方来记录。 安装 npm install -g typescript 安装好之后,可以看看自己的版本 ts基础语法 模块 函数 变量 语法和表达式 注释 编译 ts 文件需要用 tsc xxx.ts ,js 文件…

Dockerfile文件参数配置和使用

天行健,君子以自强不息;地势坤,君子以厚德载物。 每个人都有惰性,但不断学习是好好生活的根本,共勉! 文章均为学习整理笔记,分享记录为主,如有错误请指正,共同学习进步。…

动态扩缩容下的全局流水号设计

关于全局流水号,业内用的比较多的就是雪花算法,一直没理解在动态扩缩容下其中的workId和 datacenterId如何设置,查到了几个方法:reidis中取,待后期实践下。 先简单的介绍一下雪花算法,雪花算法生成的Id由…

【Zookeeper】what is Zookeeper?

官网地址:https://zookeeper.apache.org/https://zookeeper.apache.org/ 以下来自官网的介绍 ZooKeeper is a centralized service for maintaining configuration information, naming, providing distributed synchronization, and providing group services. A…

AI专题:AI应用落地的商业模式探索

今天分享的是AI 系列深度研究报告:《AI专题:AI应用落地的商业模式探索》。 (报告出品方:国金证券) 报告共计:27页 AI基座模型提供按量收费服务 以 ChatGPT 为代表的大模型能力涌现,为基座模型厂商带来增…

“小手艺”有“大情怀”, 《青春手艺人》赋能乡村振兴,传承新时代文化

文化传承发展要坚持“守正创新”,以守正创新的正气和锐气,赓续历史文脉、谱写当代华章。中央广播电视总台农业农村节目中心推出的聚焦年轻手艺人故事的微纪录片《青春手艺人》,为守正创新的文化传承增添了新的鲜活的青春故事。节目积极响应二…

MATLAB Fundamentals>>>(2/2) Project - Analyze Vehicle Data

#创作灵感# MATLAB基础知识官方课程学习笔记 MATLAB Fundamentals>Common Data Analysis Techniques>Summary of Common Data Analysis Techniques>(2/2) Project - Analyze Vehicle Data 任务名称:Fuel Economy Analysis 任务1: The variabl…

华为机考入门python3--(10)牛客10-字符个数统计

分类:字符 知识点: 字符的ASCII码 ord(char) 题目来自【牛客】 def count_unique_chars(s): # 创建一个空集合来保存不同的字符 unique_chars set() # 遍历字符串中的每个字符 for char in s: # 将字符转换为 ASCII 码并检查是否在范围内 #…

android retrofit上传List集合数据

由于接口需要,retrofit上传不能用POST,因为FormUrlEncoded注解跟Body不能共存,所以更改成了QueryMap 因为需要传参,所先将图片集合转成了Hashmap集合,再使用Gson 将集合转成Json 字符串 ,再转成RequestBody 下面介绍一…

2024年【上海市安全员C3证】考试题库及上海市安全员C3证报名考试

题库来源:安全生产模拟考试一点通公众号小程序 2024年【上海市安全员C3证】考试题库及上海市安全员C3证报名考试,包含上海市安全员C3证考试题库答案和解析及上海市安全员C3证报名考试练习。安全生产模拟考试一点通结合国家上海市安全员C3证考试最新大纲…

微信支付服务商,商户快速进件,减少工作量

大家好,我是小悟 服务商拓展特约商户,人工录入大量商户资料,耗时耗力。商户对标准费率不满意,无法说服商户先签约再帮其调整费率。 为了减少服务商工作量,服务商快速进件工具来了,分为移动端和管理端。用好…

MyBatis多数据源以及动态切换实现(基于SpringBoot 2.7.x)

MyBatis多数据源以及动态切换实现可以实现不同功能模块可以对应到不同的数据库&#xff0c;现在就让我们来讲解一下。 目录 一、引入Maven二、配置文件三、实现多数据源四、动态切换数据源 一、引入Maven 注意&#xff1a;博主这边使用的springboot版本是2.7.14的 <!-- htt…

用 Delphi 程序调用 Python 代码画曲线图

用 Python 的库画图 Python 代码如下&#xff1a; import matplotlib.pyplot as pltsquares [1, 4, 9, 16, 25]; plt.plot(squares); plt.grid(True) # 网格线 plt.show(); # 这句话会弹出个窗口出来&#xff0c;里面是上述数据的曲线。 把以上代码&#xff0c;放进 PyS…

Node.js(五)-跨域(了解)

一 、CORS相关 1. 接口的跨域问题 html: server: 访问结果&#xff1a; 刚才编写的 GET 和 POST接口&#xff0c;存在一个很严重的问题&#xff1a;不支持跨域请求。 解决接口跨域问题的方案主要有两种&#xff1a; ① CORS&#xff08;主流的解决方案&#xff0c;推荐使…

基础面试题整理7之Redis

1.redis持久化RDB、AOF RDB(Redis database) 在当前redis目录下生成一个dump.rdb文件&#xff0c;对redis数据进行备份 常用save、bgsave命令进行数据备份&#xff1a; save命令会阻塞其他redis命令&#xff0c;不会消耗额外的内存&#xff0c;与IO线程同步&#xff1b;bgsav…

gem5学习(17):ARM功耗建模——ARM Power Modelling

目录 一、Dynamic Power States 二、Power Usage Types 三、MathExprPowerModels 四、Extending an existing simulation 五、Stat dump frequency 六、Common Problems 官网教程&#xff1a;gem5: ARM Power Modelling 通过使用gem5中已记录的各种统计数据&#xff0c;…

掌握Web服务器之王:Nginx 学习网站全攻略!

介绍&#xff1a;Nginx是一款高性能的Web服务器&#xff0c;同时也是一个反向代理、负载均衡和HTTP缓存服务器。具体介绍如下&#xff1a; 轻量级设计&#xff1a;Nginx的设计理念是轻量级&#xff0c;这意味着它在占用最少的系统资源的同时提供高效的服务。 高并发能力&#x…

ROS笔记二:launch

目录 launch node标签 参数 参数服务器 节点分组 launch launch文件是一种可以可实现多节点启动和参数配置的xml文件,launch文件用于启动和配置ROS节点、参数和其他相关组件。launch文件通常使用XML格式编写&#xff0c;其主要目的是方便地启动ROS节点和设置节点之间的连…

【Unity优化(一)】音频优化

整理资教程&#xff1a;https://learn.u3d.cn/tutorial/unity-optimization-metaverse 1.音频优化 音频一般不会成为性能瓶颈&#xff0c;是为了节省内存和优化包体大小。 1.0 文件格式和压缩格式 原始音频资源尽量采用WAV格式。 移动平台音频尽量采用Vorbis压缩格式&#x…

PyTorch 2.2 中文官方教程(十八)

开始使用完全分片数据并行&#xff08;FSDP&#xff09; 原文&#xff1a;pytorch.org/tutorials/intermediate/FSDP_tutorial.html 译者&#xff1a;飞龙 协议&#xff1a;CC BY-NC-SA 4.0 作者&#xff1a;Hamid Shojanazeri&#xff0c;Yanli Zhao&#xff0c;Shen Li 注意…