【FPGA】zynq 单端口RAM 双端口RAM 读写冲突 写写冲突

news2024/10/7 4:26:32

    • RAM
    • RAM读写分类
    • RAM原理及实现
    • RAM三种读写模式
      • 不变模式
      • 写优先
      • 读优先
    • 单端口 RAM
    • 伪双端口 RAM
    • 真双端口 RAM
    • 读写冲突和写写冲突
      • 读写冲突
      • 写写冲突
      • 总结:

RAM

RAM 的英文全称是 Random Access Memory,即随机存取存储器,简称随机存储器,它可以随时把数据写入任一指定地址的存储单元,也可以随时从任一指定地址的存储单元中读出数据,其读写速度是由时钟频率决定的。
具体的分类讲解可以看SDRAM、DRAM及DDR FLASH ROM概念详解这篇文章

我们使用的RAM是静态RAM

RAM读写分类

在RAM中,单端口RAM(Single-port RAM)和双端口RAM(Dual-port RAM)是两种常见的类型,双端口RAM又分为真双端口(True dual-port RAM)伪双端口RAM(Simple dual-port RAM)

  • 单端口 RAM 只有一个端口进行读写,即读/写只能通过这一个端口来进行。
  • 伪双端口 RAM ,其也有两个端口可以用于读写,但是其中一个端口只能读不能写,另一个端口只能写不
    能读;
  • 真双端口 RAM ,其有两个端口可以用于读写,且两个端口都可以进行读或写;

在这里插入图片描述

RAM原理及实现

在内存空间中开辟出一段固定大小的内存用于存储数据,每一个数据所占的bit位称之为位宽,这段内存空间中数据的总数称之为深度。例如reg [7:0] mem [255:0],这段内存空间中每一个数据的位宽为8bit深度为256

在这段内存空间中,每个数据分配给一个地址,如上例深度为256,可以用8bit的地址来表示所有的数据,0000_0000则表示第0个数据,1111_1111则表示第255个数据。

外部信号通过固定的时钟节拍,通过使能信号及地址信号来读取RAM中特定位置的数据或者向RAM中特定位置写入数据。

RAM三种读写模式

在FPGA中,RAM的读写操作包括如下三种操作模式:“写优先模式”、“读优先模式”和“不修改模式” 。A端口和B端口可以独立配置为三种模式中的任意一种。

  • no-change (不变模式):进行写操作过程中 输出数据线上的的数据不变
  • read(读优先模式):读优先,对某个地址进行写操作的时候,写入的数据先放入存储器内,输出数据线上数据不变。(上个周期写入的,这个周期先读出之前的值)
  • **write(写优先模式):写优先,写入的数据立马放在输出的数据线上
    **
    我们可以知道,在写优先的情况下,可以最快得到更新值。因此,双端口RAM的队列信息更新要保证至少要间隔一个钟(且为写优先的情况)。

不变模式

在这里插入图片描述
在不变模式下,当 ENA(使能信号)为高后,第一个时钟上升沿 WEA(读/写使能信号)为低电平,表示读数据,此时的地址为 aa,所以读出的就是 aa 内的数据;第二个时钟上升沿 WEA 为高电平,表示写数据,此时的地址为 bb,即先将数据(DAIN)“1111”写入地址 bb ,只进行写操作,读数据保持不变,所以读出的数据也是“aa”;第三个时钟上升沿同理,也就是说 DOUTA 保持前一拍数据,直到 WEA 为低,第四个时钟上升沿 WEA 为低电平,表示读数据,读取的就是地址 dd 内的数据。

写优先

在这里插入图片描述

在写优先模式下,当 ENA(使能信号)为高后,第一个时钟上升沿 WEA(读/写使能信号)为低电平,表示读数据,此时的地址为 aa,所以读出的就是 aa 内的数据;第二个时钟上升沿 WEA 为高电平,表示写数据,此时的地址为 bb,即先将数据(DAIN)“1111”写入地址 bb 后再读出,所以读出的数据也是“1111”;第三个时钟上升沿同理,将 DINA 数据写入存储器后再将更新后的数据送到 DOUTA 上进行输出;第四个时钟上升沿 WEA 为低电平,表示读数据,读取的就是地址 dd 内的数据。

读优先

在这里插入图片描述
在读优先模式下,当 ENA(使能信号)为高后,第一个时钟上升沿 WEA 为低电平,表示读数据,此时的地址为 aa,所以读出的就是 aa 内的数据;第二个时钟上升沿 WEA 为高电平,表示写数据,此时的地址为 bb,即先读出地址 bb 中的旧数据,然后再将数据(DAIN)“1111”写入地址 bb;第三个时钟上升沿同理,先将当前存储器中的旧数据送到 DOUTA 上进行输出,然后再将 DINA 数据写入当前存储地址;第四个时钟上升沿 WEA 为低电平,表示读数据,读取的就是地址 dd 内的数据。

单端口 RAM

单端口RAM(Single-port RAM): 输入只有一组数据线和一组地址线,读写共用地址线,输出只有一个端口。如果CPU需要读取RAM中的数据并将其写入到RAM的另一个位置,必须先执行读取操作,然后执行写入操作。如下图所示:

在这里插入图片描述

  • 端口描述

DINA:RAM 写数据端口;
ADDRA:RAM 读写地址端口,对于单口 RAM 来说,读地址和写地址共用同一组地址;,由外部输入,地址位宽决定了RAM的最大深度,比如地址位宽为 4,则 RAM 最大深度是16(0-15)
WEA:写使能信号,高电平表示向RAM中写入数据,低电平表示从RAM中读出数据
ENA:端口使能信号,高电平有效;低电平表示禁用端口
RSTA:复位信号,高电平有效
REGCEA : 输出寄存器使能信号,REGCEA为低电平时,读端口保持最后一次输出的数据
CLKA: 时钟信号
DOUTA: 输出数据信号,从 RAM 中读出的数据,多bit位宽

伪双端口 RAM

伪双端口RAM(Simple dual-port RAM): 输入有一组数据线,两组地址线,输出只有一个端口。伪双端口RAM可以提供并行读写操作,避免了传统单端口RAM的等待时间,因此有更快的访问速度和响应时间。伪双端口RAM通常广泛应用于高性能数字信号处理器、图像处理器、视频采集卡等领域,以提高存储器的访问速度和效率,满足高速处理的需求。如下图所示:

在这里插入图片描述
与单端口 RAM 不同的是,伪双端口 RAM 输入有两路时钟信号 CLKA/CLKB(写时钟和读时钟);独立的两组地址信号ADDRA/ADDRB(写地址和读地址);写端口A仅提供 DINA 写数据总线,作为数据的写入口;读端口B 仅提供DOUTB数据读的功能。允许同时端口A写入,端口B读出,且速率可以不同

多出来的端口的用于ECC 简单双端口RAM独特的ECC功能
可以对单比特进行纠正 对双比特进行检错 我们很少用到,有个了解即可

INJECTSBITERR:Inject Single-Bit Error 的简写,即注入单 bit 错误,仅适用于 Xilinx Zynq-7000 和 7 系列芯片的 ECC 配置。
INJECTDBITERR:Inject Double-Bit Error 的简写,即注入双 bit 错误,同样仅适用于 Xilinx Zynq-7000
和 7 系列芯片的 ECC 配置。
SBITERR:Single-Bit Error 的简写,即单 bit 错误,标记内存中存在的单 bit 错误,该错误已在输出总线
上自动更正。
DBITERR:Double-Bit Error 的简写,即双 bit 错误,标记内存中存在双 bit 错误,需要注意的是内置的
ECC 解码模块不能自动纠正双 bit 错误。
RDADDRECC:Read Address for ECC Error output 的简写,即读地址 ECC 错误输出,同样仅适用于 Xilinx
Zynq-7000 和 7 系列芯片的 ECC 配置。

  • 在VIVADO创建端口的时候可以看到 ECC选项为简单双端口RAM独有的
    在这里插入图片描述
    需要注意的是,使用了单比特纠错功能,输出的数据只会在DOUTB输出端口上修改,存在RAM里的数据不会发生变化

真双端口 RAM

真双端口RAM(True dual-port RAM): 输入有两组地址线和两组数据线,输出有两个端口。所以双口RAM两个端口都分别带有读写端口,可以在没有干扰的情况下进行读写,彼此互不干扰。这种RAM通常用于高端计算机系统中,因为它可以提高系统性能。例如,在多处理器系统中,多个处理器可以同时访问同一块双端口RAM,从而提高系统的并行处理能力。如下图所示:
在这里插入图片描述

真双端口 RAM 提供了两个独立的读写端口(A 和 B),既可以同时读,也可以同时写,也可以一个读一个写。可以发现,真双端口 RAM 只是将单端口 RAM 的所有信号做了一个复制处理

读写冲突和写写冲突

读写冲突(Write-Write Collisions): 在同一时间,两个端口对同一个地址,一个进行读取,一个进行写入操作

写写冲突(Write-Write Collisions): 在同一时间,两个端口对同一个地址进行写入操作

读写冲突

读写冲突:即同时刻读写同一地址所出现的冲突,例如理论上我们已经向某个地址写入了新的数据,我们也希望可以同时读到这个地址内新写入的数据,但实际上,这个新数据还没有写入 RAM 中,所以我们读出来的可能是 RAM 默认值,或者是 RAM 该地址中上一次的值,这便是读写冲突。读写冲突示意图如下:

在这里插入图片描述
在上图中 WEA[3:0] 为写使能, 字节使能,每一个bit可以选择写入数据的那个字节被写入,1表示写入该字节 ,0表示不写入该字节, DINA[31:0]为写数据总线,DOUTBarf为读优先情况下读数据总线的值,DOUTBawf为写优先情况下读数据总线的值,RAM Contents为RAM中存储的数据

读优先情况下,同时对一个地址进行读写,会先把RAM里原本的数值发送到DOUTBarf读数据总线, DOUTBarf输出的值一直为RAM原本的数据

那么我们来分析写优先的情况下:

  • 在第一个时钟周期
    WEA[3:0] 为b0000 表示没有写入数据 此时 DINA[31:0]无效

  • 在第二个时钟周期
    WEA[3:0] 为b0101 表示写入第1个字节和第3个字节, 此时 DINA[31:0]为AAAA AAAA 会写入00AA 00AA的数据 因为是写优先 ,所以会把数据先发送到DOUTBarf读数据总线上,但是读数据总线此时也在读取数据,此时DOUTBarf上的值,就不知道是原本RAM里的数据 还是新写入的这个数据 ,就成了一个未知态

可知当发生读写冲突时,读优先的模式下读出的是读地址中存储的上一个数据;写优先模式时读出的是未知的数据“XX”

写写冲突

写写冲突:表示端口A和端口B写使能同时有效且写地址DINA和DINB相同,此时需要关断一个写,把两个写端口都需
要更新的值处理到一个写端口上。切记任何双端口 RAM 都不支持写写冲突。写写冲突示意图如下所示:
在这里插入图片描述
在上图中 WEA[3:0] 为写使能 ,字节使能,每一个bit可以选择写入数据的那个字节被写入,1表示写入该字节 ,0表示不写入该字节,WEB[3:0] 为端口B写使能, DINA[31:0]为端口A写数据总线, DINB[31:0]为端口B写数据总线,RAM Contents 为RAM中存储的数据

那么我们来分析下:

  • 在第一个时钟周期

    WEA[3:0] 为b1100 表示写入高两个字节 此时 DINA[31:0]的高两个字节7654被写入,低字节FFFF无效
    WEB[3:0] 为b0011 表示写入低两个字节 此时 DINB[31:0]的低两个字节3210被写入,高字节FFFF无效

    RAM中实际写入的值为 7654 3210

  • 在第二个时钟周期

  • WEA[3:0] 为b0101 表示写入第1个字节和第3个字节, 此时 DINA[31:0]的xxAA xxAA被写入
    WEB[3:0] 为b1010 表示写入第2个字节和第4个字节 此时 DINA[31:0]的BBxx BBxx被写入

    RAM中实际写入的值为 BBAA BBAA

  • 在第三个时钟周期

  • WEA[3:0] 为b1110 表示写入第4个字节,第3个字节和第2个字节, 此时 DINA[31:0]的7777 77xx被写入
    WEB[3:0] 为b0011 表示写入第2个字节和第1个字节 此时 DINA[31:0]的xxBB Bxx被写入

第二个字节的数据产生了冲突,成了一个未知态
RAM中实际写入的值为 7777 xx00

  • 在第四个时钟周期

  • WEA[3:0] 为b1111 表示写入第4个字节,第3个字节,第2个字节和第1个字节, 此时 DINA[31:0]的AAAA AAAA被写入
    WEB[3:0] 为b0110 表示写入第3个字节和第2个字节 此时 DINA[31:0]的xxxx 0000被写入

第二个字节和第三个字节的数据产生了冲突,成了一个未知态
RAM中实际写入的值为 AAXX XXAA

总结:

伪双端口模式下我们需要避免读写冲突;在真双端口模式下我们需要避免读写冲突和写写冲突

请添加图片描述
请添加图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1213985.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

ProtoBuf的学习和使用(C++)

ProtoBuf的学习和使用---C ⼀、初识ProtoBuf序列化和反序列化的概念ProtoBuf是什么?ProtoBuf工作特点 二、主要学习思路三、快速上手四、proto3语法详解1.字段规则2.消息类型的定义与使⽤实际操练 3.enum枚举类型enum注意事项enum实操 4.Any类型Any类型实操 5.oneof类型oneof类…

滴滴 Redis 异地多活的演进历程

为了更好的做好容灾保障,使业务能够应对机房级别的故障,滴滴的存储服务都在多机房进行部署。本文简要分析了 Redis 实现异地多活的几种思路,以及滴滴 Redis 异地多活架构演进过程中遇到的主要问题和解决方法,抛砖引玉,…

Unity Meta Quest 一体机开发(六):HandGrabInteractor 和 HandGrabInteractable 知识点

文章目录 📕教程说明📕HandGrabInteractor⭐HandGrabAPI⭐HandWristPoint⭐GripPoint⭐PinchPoint⭐PinchArea⭐HandGrabVisual⭐HandGrabGlow 📕HandGrabInteractable⭐Support Grab Type⭐Pinch Grab Rules 和 Palm Grab Rules⭐Unselect M…

【SpringBoot3+Vue3】三【实战篇】-后端(优化)

目录 一、登录优化-redis 1、SpringBoot集成redis 1.1 pom 1.2 yml 1.3 测试程序(非必须) 1.4 启动redis,执行测试程序 2、令牌主动失效(代码优化) 2.1 UserController设置token到redis 2.2 登录拦截器Log…

下载huggingface预训练模型到本地并调用

写在前面 在大模型横行的时代,无法在服务器上连接外网的研究僧真的是太苦逼了,每次想尝试类似于CLIP,BLIP之类的大模型都会得到“requests.exceptions.ConnectionError: (MaxRetryError("HTTPSConnectionPool(host‘huggingface.co’, …

Win11系统安装或执行程序时提示:文件系统错误(-1073740771)解决方案

有用户反映,exe文件无法执行或者无法安装,报错如图所示: 解决方法: 方法一: 1.打开控制面板,可以采用”搜索“→”控制面板“的方式 2.控制面板选择“用户账户”,再选择“更改用户账户控制设…

TiDB单机集群模拟生产环境

1、先部署环境,安装5.4.3版本,详细的安装步骤见官方文档:单机集群模拟生产环境安装教程 配置文件topo.yaml global:user: "tidb"ssh_port: 22deploy_dir: "/tidb-deploy"data_dir: "/tidb-data"monitored:no…

【数据结构】线段树(点修区查)

数据结构-线段树(点修区查) 前置知识 分治递归二叉树 思路 我们需要维护一个支持单点修改,区间查询的数据结构,并且要求在线,一般使用线段树解决。 线段树是一个二叉树形的数据结构。 线段树的思想很简单&#xff0c…

Python---数据序列中的公共方法

公共方法就是 支持大部分 数据 序列。 常见公共方法---简单 运算符描述支持的容器类型合并字符串、列表、元组*复制字符串、列表、元组in元素是否存在字符串、列表、元组、字典not in元素是否不存在字符串、列表、元组、字典 案例: 合并 代码: # …

阿里云99元VS腾讯云88元,双11云服务器价格战,谁胜谁负?

在2023年的双十一优惠活动中,阿里云推出了一系列令人惊喜的优惠活动,其中包括99元一年的超值云服务器。本文将带您了解这些优惠活动的具体内容,以及与竞争对手腾讯云的价格对比,助您轻松选择最适合的云服务器。 99元一年服务器优…

使用SSH和SCP传输文件———详细入门教学实践

确保你已经在本地机器上安装了SSH客户端和SCP工具。 获取远程虚拟机的IP地址或主机名以及登录凭据(用户名和密码或私钥)。 打开终端(命令提示符)并输入以下命令来传输文件: scp /本地路径/文件 用户名远程虚拟机IP地…

ubuntu设置脚本开机自启动

rc-local.service flexmitd1:~$ cd /lib/systemd/system/ flexmitd1:/lib/systemd/system$ ls |grep rc-local.service rc-local.service rc-local.service.d flexmitd1:/lib/systemd/system$ pwd /lib/systemd/system flexmitd1:/lib/systemd/system$确保有rc-local.service文…

深入理解JMM(Java内存模型)

一、什么是JMM? Java内存模型(Java Memory Model简称JMM)是一种抽象的概念,并不真实存在,它描述的一组规则或者规范。通过这些规则、规范定义了程序中各个变量的访问方式。jvm运行的程序的实体是线程,而每个线程运行时,都会创建一…

C# - 委托、事件、Action、Func

前言:所有的名词,都是基于委托产生的 委托 (delegate) 解释: 其实就是一种指定格式的函数模版(容器) 这个模版(容器)可以用来存放各种格式和它相同的函数(的引用) 比如指定类型参数 指定参数个数 指定返回值等等 定义…

社区论坛小程序系统源码+自定义设置+活动奖励 自带流量主 带完整的搭建教程

大家好啊,又到了罗峰来给大家分享好用的源码的时间了。今天罗峰要给大家分享的是一款社区论坛小程序系统。社区论坛已经成为人们交流、学习、分享的重要平台。然而,传统的社区论坛往往功能单一、缺乏个性化设置,无法满足用户多样化的需求。而…

无人零售:创新优势与广阔前景

无人零售:创新优势与广阔前景 无人零售在创新方面具有优势。相比发展较为成熟的欧洲和日本的自动贩卖机市场,中国的无人零售市场人均占有量较少,这表明该市场具有广阔的前景和巨大的市场潜力。 此外,无人零售涉及到许多相关行业&…

GD32_ADC采样+DMA多通道扫描传输

GD32_ADC采样DMA多通道扫描传输 文章目录 GD32_ADC采样DMA多通道扫描传输前言一、资源介绍二、原理1.ADC连续扫描模式2.DMA传输3.ADC内部通道 三、配置1.ADC配置2.DMA配置3.注意事项 四、计算1.分压转换2.数据转换 前言 <1>、硬件平台&#xff1a;可运行软件程序的GD32单…

【算法】堆排序

算法-堆排序 前置知识 堆&#xff08;即将更新&#xff09; 思路 我们现在有一个序列&#xff0c;怎么对它排序&#xff1f; 这是一个非常经典的问题&#xff0c;这里我们使用一个借助数据结构的算法——堆排序解决。 这里有一个序列&#xff0c;要对它升序排序 4 7 3 6 5 …

前端开发好用的vscode插件

1.TONGYI Lingma 通义灵码&#xff0c;是一款基于通义大模型的智能编码辅助工具&#xff0c;提供行级/函数级实时续写、自然语言生成代码、单元测试生成、代码注释生成、代码解释、研发智能问答、异常报错排查等能力&#xff0c;并针对阿里云 SDK/API 的使用场景调优&#xff0…

云课五分钟-03第一个开源游戏复现-贪吃蛇

前篇 云课五分钟-02第一个代码复现-终端甜甜圈C 视频 云课五分钟-03第一个开源游戏复现-贪吃蛇 一个终端的动态字符显然很难调动编程的积极性&#xff0c;那么更有趣的开源的游戏也许是一种更好的启发。 文本 蓝桥ROS机器人之绚丽贪吃蛇 如何在Linux下使用 DungeonRush-mast…