【硬件设计】模拟电子基础三--集成运算放大电路

news2024/10/7 6:47:30

模拟电子基础三--集成运算放大电路

  • 一、集成运算放大器
    • 1.1 定义、组成与性能
    • 1.2 电流源电路
    • 1.3 差动放大电路
    • 1.4 理想运算放大器
  • 二、集成运算放大器的应用
    • 2.1 反向比例运算电路
    • 2.2 同向比例运算电路
    • 2.3 反向加法运算电路
    • 2.4 反向减法运算电路
    • 2.5 积分运算电路
    • 2.6 微分运算电路
    • 2.7电压比较器电路
  • 三、仪表放大器
    • 3.1 定义、原理、特点及分类
    • 3.2 AD620仪表放大器
  • 四、功率放大器
    • 4.1 定义与特点
    • 4.2 工作模式
    • 4.3 内部电路及应用


前言:本章为知识的简单复习,适合于硬件设计学习前的知识回顾,不适合运用于考试

一、集成运算放大器

1.1 定义、组成与性能

①定义

集成运算放大器是一种具有很高放大倍数的多级直接耦合放大电路。是发展最早、应用最广泛的一种模拟集成电路。
特点:高增益、高可靠性、低成本、小尺寸
在这里插入图片描述

②组成

从原理上说,集成运放实质上是一个具有高电压增益高输入电阻低输出电阻的直接耦合多级放大电路。集成运放其内部电路一般由输入级中间级输出级偏置电路四部分组成,对于高性能、高精度等特殊集成运放,还要增加有关部分的单元电路,如温度控制电路、温度补偿电路、内部补偿电路、过流或过热保护电路、限流电路、稳压电路等。
在这里插入图片描述

③性能

  • 开环差模电压增益: β-放大倍数(值无穷大,几万倍到几十万倍)
  • 输入失调电压:当Uo输出电压为0时,U+ - U-的值一般为10mv以内
  • 输入失调电流:输入电阻为无穷大时,电流约等于0,但实际仍有的输入电流
  • 输入偏置电流:偏置电路提供的偏置电流,用于调节静态工作点
  • 差模输入电阻和输出电阻
  • 温度漂移
    输入失调电压温漂
    输入失调电流温漂
  • 共模抑制比:差模放大倍数/同模放大倍数,值越小越好
    最大共模输入电压
    最大差模输入电压

1.2 电流源电路


定义:

电流源是模拟集成电路中应用十分广泛的单元电路。在集成运放中的电流源为放大电路提供稳定的偏置电流,同时作为放大电路的有源负载,提高放大电路的增益。常见的电流源电路有镜像电流源电路、比例电流源电路和微电流源电路几种。

①镜像电流源

Multisim仿真图:
在这里插入图片描述

公式推导:
IR1 = Ic1 + 2Ib
∵ Ic1 = βIb ,Ic2 = βIb
∵ Ic1 = Ic2

②比例电流源

Multisim仿真图:
在这里插入图片描述

公式推导:
Ube1 + Ie1R2 = Ube2+ Ie2R3
∵ Ube1 ≈ Ube2
∴ Ie1R2 = Ie2R3
∵ Ie1 ≈ IR1 , Ie2 ≈ Ic2
∴ IR1R2 = Ic2R3 , R2/R3 = Ic2/IR1

③微电流源

Multisim仿真图:
在这里插入图片描述

公式推导:
Ic1 ≈ Ic2 = (Ube2 - Ube1)/R2


1.3 差动放大电路


定义:

差动放大电路又叫差分放大电路,它是另一类基本放大电路,它能有效的减小由于电源波动和晶体管随温度变化而引起的零点漂移,因而获得广泛的应用,特别是大量的应用于集成运放电路,作为多级放大器的前置级。

Multisim仿真图:
在这里插入图片描述
① 当两端偏置电压不同时,会导致输出电压差
在这里插入图片描述
② 减小R2
在这里插入图片描述
可以发现电压差减小了,因此我们可以通过调节R2,去调节输出电压差


1.4 理想运算放大器

说明:
Auo: 开环放大倍数,80dB~140dB,近乎无穷大
Rid : 输入电阻: 1 0 5 10^5 105 ~ 1 0 11 10^{11} 1011
Ro : 几十欧 ~ 几百欧
KCMRR: 共模抑制比 - 70dB~130dB

①虚短
理想运放两输入端电位相等(虚短)
∵ uo=AuoUi=Auo(u+ - u-)
∴ u+-u-= uo/Auo
∵ Aud ≈ ∞
∴ u+=u-

②虚断
理想运放输入电流等于零(虚断)
∵ 理想的Rid= ∞
∴ I+ = I-= 0



二、集成运算放大器的应用

2.1 反向比例运算电路

Multisim仿真图:
在这里插入图片描述

公式推导:
∵ 虚短:
V 1 − U − R 3 = U − − U o R 4 \frac{V_1- U_-}{R_3} = \frac{U_- - U_o}{R_4} R3V1U=R4UUo
∵ 虚断:
U+ = U-,而U+ = 0
∴ U- = 0
V 1 U o = − R 3 R 4 = − 1 2 \frac{V_1}{U_o} = -\frac{ R_3}{R_4} = -\frac{1}{2} UoV1=R4R3=21

示波器图形:
在这里插入图片描述


2.2 同向比例运算电路

Multisim仿真图:
在这里插入图片描述

公式推导:
∵ 虚短:
0 − U − R 1 = U − − U o R 3 \frac{0- U_-}{R_1} = \frac{U_- - U_o}{R_3} R10U=R3UUo
∵ 虚断:
U+ = U-,而U+ = V1
∴ U- = V1
− V 1 R 1 = V 1 − U o R 3 -\frac{V_1}{R_1} = \frac{V_1-U_o}{R_3} R1V1=R3V1Uo
化简可得:
V 1 U o = R 1 R 3 + R 1 = 1 3 \frac{V_1}{U_o} = \frac{R_1}{R_3+R_1} = \frac{1}{3} UoV1=R3+R1R1=31

示波器图形:
在这里插入图片描述


2.3 反向加法运算电路

Multisim仿真图:
在这里插入图片描述

公式推导:
∵ 虚短:
V 1 − U − R 5 + V 2 − U − R 1 = U − − U o R 3 \frac{V_1-U_-}{R_5} + \frac{V_2 - U_-}{R_1}= \frac{U_- - U_o}{R_3} R5V1U+R1V2U=R3UUo
∵ 虚断:
U+ = U-,而U+ = 0
∴ U- = 0
V 1 R 1 + V 2 R 1 = − U o R 3 \frac{V_1}{R_1} + \frac{V_2}{R_1}= -\frac{U_o}{R_3} R1V1+R1V2=R3Uo
化简可得:
V 1 + V 2 = − U o 2 V_1 + V_2= -\frac{U_o}{2} V1+V2=2Uo

示波器图形:
在这里插入图片描述


2.4 反向减法运算电路

Multisim仿真图:
在这里插入图片描述

公式推导:
∵ 虚短:
V 1 − U − R 1 = U − − U o R 3 \frac{V_1-U_-}{R_1} = \frac{U_- - U_o}{R_3} R1V1U=R3UUo
∵ 虚断:
U+ = U-,而U+ = V 2 ∗ R 6 R 6 + R 2 V_2 * \frac{R_6}{R_6 + R_2} V2R6+R2R6
∴ U- = V 2 ∗ R 6 R 6 + R 2 V_2 * \frac{R_6}{R_6 + R_2} V2R6+R2R6

化简可得:
V 1 − V 2 = − U o V_1 - V_2= -U_o V1V2=Uo

示波器图形:
在这里插入图片描述


2.5 积分运算电路

电路:
在这里插入图片描述
公式推导:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述


2.6 微分运算电路

电路:
在这里插入图片描述

公式推导:
在这里插入图片描述

波形为:
在这里插入图片描述


2.7电压比较器电路

Multisim仿真图:
在这里插入图片描述
函数发生器配置:
在这里插入图片描述
开启电路仿真,可以看到LED灯闪烁。

示波器波形:
在这里插入图片描述



三、仪表放大器

3.1 定义、原理、特点及分类

①定义

仪表放大器是一种精密差分电压放大器,它源于运算放大器,且优于运算放大器。仪表放大器把关键元件集成在放大器内部,其独特的结构使其具有高共模抑制比、高输入阻抗、低噪声、低线性误差、低失调漂移、增益设置灵活和使用方便等特点,使其在数据采集、传感器信号放大、高速信号调节、医疗仪器和高档音响设备等方面倍受青睐。

②原理

在这里插入图片描述
仪表放大器主要由两级差分放大器电路组成。其中,运放A1、A2为同向差分输入方式,同向输入可以大幅提高电路的输入阻抗,减小电路对微弱信号的衰减;差分输入可以使电路只对差模信号放大,而对共模输入信号只起跟随作用,使得送到后级的差模信号与共模信号的幅值之比(及共模抑制比CMRR)得到提高。
在以运放A3为核心部件组成的差分放大电路中,在CMRR要求不变的情况下,可明显降低对电阻R3和R4,Rf和R5的精度匹配要求,从而使仪表放大器电路比简单的差分放大电路具有更好的共模抑制能力。
在R1=R2,R3=R4,Rf=R5的条件下,电路的增益为:
G=(1+2R1/Rg)Rf/R3。
由公式可见,电路增益的调节可以通过改变Rg阻值实现。

③特点

  • 高共模抑制比
  • 高输入阻抗
  • 低噪声
  • 低失调电压和失调电压漂移
  • 低线性误差
  • 具有“检测”端和“参考”端

④分类
在这里插入图片描述


3.2 AD620仪表放大器

介绍:

  • AD620是一种低功耗、高精度仪表放大器,他只需要一个外接电阻即可设置各种增益(1~1000)。

  • AD620与分立元件组成的仪表放大器(三运放结构)相比较具有体积小、功耗低、精度高等优点。

  • AD620已在精密数据采集系统(如衡量器和传感器接口)获得广泛应用。也成为医疗仪器(如心电图和非侵入血压测量计)的首选器件。

在这里插入图片描述

应用:

压力检测电路:
在这里插入图片描述
心率检测电路:
在这里插入图片描述



四、功率放大器

4.1 定义与特点

①定义

功率放大电路是一种以输出较大功率为目的的放大电路。

②特点

  • 输出信号电压大;
  • 输出信号电流大;
  • 放大电路的输出电阻与负载匹配。

③电压放大器与功率放大器的区别:

  • 电压放大—不失真地提高输入信号的幅度,以驱动后面的功率放大级,通常工作在小信号状态。
  • 功率放大—信号不失真或轻度失真的条件下,提高输出功率,通常工作在大信号状态。

4.2 工作模式

  • 甲类:Q点适中,信号在整个周期内都能通过。效率<50%
  • 乙类:Q点在截止区,半个周期导通,效率≤78%
  • 甲乙类:Q点接近截止区,导通大于半个周期,效率介于甲类和乙类之间

在这里插入图片描述


4.3 内部电路及应用

内部的两种电路:
在这里插入图片描述

应用:
在这里插入图片描述



在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/848566.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

vivado tcl创建工程和Git管理

一、Tcl工程创建 二、Git版本管理 对于创建完成的工程需要Git备份时&#xff0c;不需要上传完整几百或上G的工程&#xff0c;使用tcl指令创建脚本&#xff0c;并只将Tcl脚本上传&#xff0c;克隆时&#xff0c;只需要克隆tcl脚本&#xff0c;使用vivado导入新建工程即可。 优…

最详细,手机APP测试-ADB命令总结大全,你要的都在这...

目录&#xff1a;导读 前言一、Python编程入门到精通二、接口自动化项目实战三、Web自动化项目实战四、App自动化项目实战五、一线大厂简历六、测试开发DevOps体系七、常用自动化测试工具八、JMeter性能测试九、总结&#xff08;尾部小惊喜&#xff09; 前言 adb是什么&#x…

系统集成项目成本管理

在项目中&#xff0c;成本是指项目活动或其组成部分的货币价值或价格&#xff0c;包括为实施、完成或创造该活动或其组成部分所需资源的货币价值。具体的成本一般包括直接工时、其他百接费用、间接工时、其他间接费用以及采购价格。 项目全过程所耗用的各种成本的总和为项目成本…

无数资深果粉称之为 Mac 装机必备软件的 ——CleanMyMac X

它就是被无数资深果粉称之为 Mac 装机必备软件的 ——CleanMyMac X。或许你没用过它&#xff0c;但是大概率你身边一定有它的资深用户&#xff0c;作为 MacPaw 旗下的老牌清理软件&#xff0c;在全球已经拥有超过 2500 万次的下载量。 它有着五大强悍的功能&#xff0c;可以帮…

超融合基础架构 (HCI) 监控

什么是超融合基础架构 &#xff08;HCI&#xff09; 超融合基础架构 &#xff08;HCI&#xff09; 是一种软件定义的基础架构技术&#xff0c;它将计算、虚拟化和网络功能全部整合到一个设备中。超融合基础架构 &#xff08;HCI&#xff09; 解决方案使用软件和 x86 服务器来取…

【Linux命令详解 | less命令】Linux系统中用于分页显示文件内容的命令

文章标题 简介一&#xff0c;参数列表二&#xff0c;使用介绍1. 分页显示文件内容2. 搜索关键词3. 显示行号4. 显示特定内容5. 只显示匹配行6. 忽略大小写搜索7. 输出到文件8. 动态查看文件增长9. 开启对二进制文件的支持10. 显示控制字符11. 忽略键盘输入12. 显示百分比进度条…

深度学习环境安装依赖时常见错误解决

1.pydantic 安装pydantic时报以下错误&#xff1a; ImportError: cannot import name Annotated from pydantic.typing (C:\Users\duole\anaconda3\envs\vrh\lib\site-packages\pydantic\typing.py) 这个是版本错误&#xff0c;删除装好的版本&#xff0c;重新指定版本安装就…

设计模式——设计模式以及六大原则概述

设计模式代表有经验的面向对象软件开发人员使用的最佳实践。 设计模式是软件开发人员在软件开发过程中面临的一般问题的解决方案。 这些解决方案是由许多软件开发人员在相当长的时间内通过试错获得的。 什么是 GOF&#xff08;四人帮&#xff0c;全拼 Gang of Four&#xff09…

微信QQ链接防红屏障源码页面

一、作用&#xff1a;使用跳转到浏览器方式&#xff0c;防止自己链接在微信和QQ等软件无法打开。 微信QQ链接防红屏障.zip - 蓝奏云文件大小&#xff1a;2.8 M|https://wwwf.lanzout.com/iwrWS14sfzcb 二、图片&#xff1a;​ 六、安装与使用&#xff1a;上传到空间即可 使用…

Dubbo是干嘛的,Dubbo原理和机制,Dubbo的核心组件

目录 一、介绍1、Dubbo是什么2、为什么需要Dubbo3、Dubbo的特性 二、 Dubbo的核心概念1、暴露和引用&#xff08;Export and Refer&#xff09;2、服务提供者和服务消费者3、注册中心4、负载均衡5、集群容错 三、Dubbo的架构1、服务提供者和服务消费者之间的通信流程2、Dubbo的…

NR CSI(六) CSI reporting using PUCCH

之前NR CSI(二) the workflow of CSI report有对CSI report的相关流程进行介绍&#xff0c;而这篇主要看下CSI reporting over PUCCH的相关规定。 CSI report在PUCCH上传输的场景如上表红色字体&#xff0c;有三种场景&#xff0c;具体的对应的是Periodic 和Semi-Persistent CS…

sentinel核心流程源码解析

sentinel的处理槽(ProcessorSlot) 可以说&#xff0c;sentinel实现的各种功能就是由各处理槽完成的 ,ProcessorSlot定义了四个方法&#xff1a; 当进入该处理槽时触发该方法 处理完 entry方法之后触发该方法 退出该处理槽时触发该方法 exit方法处理完成时触发该方法 sentinel的…

Gitlab CI/CD笔记-第二天-GitOps的流水线常用关键词(1)

一、常用关键词 在Gitlab项目的根目录需要创建一个 .gitlab-ci.yaml的文件。 这个文件就是定义的流水线。Call :"Pipeline as code" 二、这条流水线怎么写&#xff1f; 一、掌握常用的关键词即可。 1.关键词分类 1.全局关键词 Global Keywards 2.任务关键词…

如何将jar包部署到宝塔

尝试多种方式上传&#xff0c;但启动一直失败&#xff0c;这种方式亲测是好使的 项目内修改位置 在pom.xml文件中将mysql的scope改成provided&#xff0c;如果是固定的版本号会出现问题 之后就可以打包啦&#xff0c;直接点击maven中的package 找到打包文件的位置&#xff…

人工智能贷款公司upstart:从挫折到复出,从喧嚣中崛起

来源&#xff1a;猛兽财经 作者&#xff1a;猛兽财经 总结&#xff1a; &#xff08;1&#xff09;对Upstart&#xff08;UPST&#xff09;所在次级抵押贷款市场来说&#xff0c;最糟糕的时期可能已经过去了&#xff0c;因为情况正在出现好转。 &#xff08;2&#xff09;Upst…

如何选择适合您需求的新闻稿件校对软件

选择适合您需求的新闻稿件校对软件时&#xff0c;可以考虑以下几个因素&#xff1a; 1.校对功能&#xff1a;了解软件的校对功能&#xff0c;包括拼写检查、语法检查、词汇和语义检查等方面。确保软件能够满足您的基本校对需求&#xff0c;并提供准确的建议和改进意见。 2.多语…

Windows11环境下VS2019调用Pytorch语义分割模型(C++版)

语义分割模型在训练时往往采用python脚本进行网络搭建和训练&#xff0c;并获得训练好的模型。为了提高效率方便整个工程项目部署&#xff0c;实际工程应用中通常希望使用C编程语言调用训练好的网络模型。查询大量网络资料并踩过无数坑后&#xff0c;经实际测试实现了在window1…

Java课题笔记~ 使用 Spring 的事务注解管理事务(掌握)

通过Transactional 注解方式&#xff0c;可将事务织入到相应 public 方法中&#xff0c;实现事务管理。 Transactional 的所有可选属性如下所示&#xff1a; propagation&#xff1a;用于设置事务传播属性。该属性类型为 Propagation 枚举&#xff0c; 默认值为 Propagation.R…

【TCP/IP】【测试】如何使用vlc发送组播协议包或组播数据流

&#x1f41a;作者简介&#xff1a;花神庙码农&#xff08;专注于Linux、WLAN、TCP/IP、Python等技术方向&#xff09;&#x1f433;博客主页&#xff1a;花神庙码农 &#xff0c;地址&#xff1a;https://blog.csdn.net/qxhgd&#x1f310;系列专栏&#xff1a;TCP/IP协议&…

ffmpeg使用滤镜对视频进行处理播放

一、前言 在现代的多媒体处理中,视频和音频滤镜起着至关重要的作用。可以帮助开发者对视频和音频进行各种处理,如色彩校正、尺寸调整、去噪、特效添加等。而FFmpeg作为一个功能强大的开源多媒体框架,提供了丰富的滤镜库,使我们能够轻松地对多媒体文件进行处理和转换。 本…