【数电实验】触发器及其应用

news2024/9/21 18:30:06

实验三 触发器及其应用

一 实验目的

1 了解触发器的触发方式(上升沿触发、下降沿出发)及其触发特点;

2 测试常用触发器的逻辑功能;

3 掌握用触发器设计同步时序逻辑电路的方法。

二 实验内容

1 测试双D触发器74HC74的逻辑功能:

测试双D触发器的输入、输出以及时钟脉冲的关系。注意观察输出是在时钟脉冲的什么时刻(上升沿、下降沿、高电平)发生变化的。

(1)D触发器

D触发器的特性方程为Q*=D。

根据D触发器的方程,可得到其特性表,结果如下表所示。

D

Q

Q*

0

0

0

0

1

0

1

0

1

1

1

1

(2)Multisim电路图

(3)仿真模拟过程

    在软件中连接成以上电路图后,点击运行即可开始仿真。设计电路时,左侧X1显示Q的状态,有输出时显示红色;右侧X2显示Q*的状态,有输出时显示蓝色。首先需要验证SET、RESET与输出结果(Q和Q*)的关系。然后,可以通过控制时钟的开关来观察上升沿(CLK从0变1)和下降沿(CLK从1变0)时电路的输出情况,验证输入、时钟与输出结果(Q和Q*)的关系。下面将依次展示每个步骤过程及电路对应的输出状态。

初始状态:

将SET端从1变0:

将SET端从0变1:

将RESET端从1变0:

将RESET端从0变1:

由上述几个步骤可知,当SET和RESET接在VCC上时,均为无效;当SET和RESET接地时,均为有效。即验证完SET、RESET分别与输出结果(Q和Q*)的关系。因此,接下来可以验证输入、时钟与输出结果(Q和Q*)的关系。

将输入端从0变1,紧接着将时钟端从0变1:

将时钟端从1变0:

再次将时钟端从0变1:

再次将时钟端从1变0:

  由上述几个步骤可知,当CLK输入一个上升沿时,因为输入为1,所以Q输出为有效,Q*输出为无效。且后续不论CLK怎么变化,输出状态仍然保持不变。

将输入端从1变0:

将时钟端从0变1:

  由上述几个步骤可知,当输入端从1变0时,由于CLK没有变化,输出状态仍然保持不变。但是当CLK输入一个上升沿时,因为输出为0,所以Q输出为无效,Q*输出为有效。

(4)实验结论

由仿真模拟过程可知,双D触发器的输出是在时钟脉冲的上升沿时刻发生变化的。

并且,如果多次调试CLK、SET、RESET和输入端,可以得到双D触发器中输出、输出以及时钟脉冲的关系,结果如下表所示。

输入

CLK

SET

RESET

Q

Q*

1

1

1

1

0

1

其他情况

1

1

保持原有状态

保持原有状态

1

其他情况

1

0

0

1

0

1

1

0

1

0

其他情况

1

1

保持原有状态

保持原有状态

0

其他情况

0

1

1

0

2 设计三分频电路:

用双D触发器设计一个同步三分频电路。用示波器观察并记录时钟脉冲CLK和触发器输出Q1、Q2的波形。(按三进制计数器来设计)

(1)设计思路

三分频电路可以通过对待分频时钟上升沿触发计数器进行计数来实现,具体实现方法如下:进行模3计数,在时钟上升沿进行加 1操作,比如可以在计数器计数到1时,输出时钟进行翻转,计数到2时再次进行翻转,计数到 2时清零,从头开始计数。这样实现的三分频占空比为1/3。占空比为高电平的时间与周期的比值。

(2)理论电路图和Multisim电路图

理论电路图:

Multisim电路图:

可观察Q2与CLK周期关系的电路:

可观察Q1与CLK周期关系的电路:

可观察Q1与Q2周期关系的电路:

(3)实验结论

  最终设计的三分频电路如上图所示。并且,实验3所观察分析的电路是本实验所设计的电路,因此仿真模拟过程在实验3中详细阐述。

3 观察分析同步时序逻辑电路1:

分析同步时序电路的逻辑功能,用示波器观察并记录CLK、Q1、Q2的波形。

(1)逻辑功能分析

驱动方程:D1=Q1‘Q2’  D2=Q1

特性方程:Q1*=D1  Q2*=D2

状态方程:Q1*=Q1‘Q2’  Q2*=Q1

令输出Y1=Q1,Y2=Q2,所以输出方程:Y1=Q1‘Q2’  Y2=Q1

状态转换图如下图所示。可以发现电路能自启动。

(2)仿真模拟过程

可观察Q2与CLK周期关系的电路:

  通过观察示波器图像可知,Q2的占空比为1/3,Q2的周期是CLK周期的三倍。

可观察Q1与CLK周期关系的电路:

  通过观察示波器图像可知,Q1的占空比为1/3,Q1的周期是CLK周期的三倍。

可观察Q1与Q2周期关系的电路:

  通过观察示波器图像可知,Q1的占空比为1/3,Q2的占空比为1/3,Q1的周期和Q2的周期相同;但是Q1和Q2在出现高电平的时刻不同,Q1的规律为0-1-0,Q2的规律为0-0-1。

(3)实验结论

    Q1和Q2的占空比均为1/3,周期相同且均为CLK周期的三倍;但是二者在出现高电平的时刻不同,Q1的规律为0-1-0,Q2的规律为0-0-1。

4 观察分析同步时序逻辑电路2:

分析同步时序电路的逻辑功能。用示波器观察并记录CP、Q1(74LS74的1Q端)、Q2(74LS76的1Q端)的波形。说明各触发器的翻转条件。

(1)逻辑功能分析

驱动方程:D = Q1’    J = K = Q1

特性方程:Q1* = D    Q2* = JQ2’ + K’Q2

状态方程:Q1* = Q1’    Q2* = Q1Q2’ + Q1’Q2 = Q1  Q2

令输出Y1=Q1,Y2=Q2,所以输出方程:Y1=Q1’  Y2=Q1  Q2

由于D触发器是上升沿触发,JK触发器是下降沿触发,因此Q1和Q2的变化不同步,需要单独对CLK的上升沿和下降沿时刻进行独立的分析,需要注意Q2的暂存情况和Q1的变化情况。通过分析状态转换可得到真值表,结果如下表所示。

操作次数

CLK

Q1

Q2

0

初始状态,无输入

0

1

1

1

1

2

1

0

3

0

0

4

0

0

5

1

0

6

1

1

7

0

1

8

0

1

后续模拟仿真实验将验证是否符合上表的分析情况。

(2)理论电路图和Multisim电路图

理论电路图:

Multisim电路图:

(3)仿真模拟过程

    根据理论分析时的CLK状态,依次操作单刀双掷开关控制CLK的上升沿和下降沿时刻,观察Q1和Q2的输出情况。各个时刻的电路输出状况依次为下图所示。

0——初始状态:

1——第一个上升沿:

2——第一个下降沿:

3——第二个上升沿:

4——第二个下降沿:

5——第三个上升沿:

6——第三个下降沿:

7——第四个上升沿:

8——第四个下降沿:

    综上所述,仿真模拟实验与理论分析真值表完全拟合。

(4)各触发器的反转条件

对于所有的触发器,如果想要实现翻转情况,需要具备如下的条件:一是触发脉冲信号,二是触发器输入信号状态要满足输出状态翻转条件,三是触发器的置位端及清零端禁止使能。

本实验的D触发器的反转条件为:CLK输入一个上升沿信号。

本实验的JK触发器的反转条件为:Q1=1,同时CLK输入一个下降沿信号。

(如果Q2=0,那么需要Q1=1,同时CLK输入一个下降沿信号;如果Q2=1,那么需要Q1=1,同时CLK输入一个下降沿信号)

三 实验总结

  总体而言,本次模拟仿真实验与理论情况完全拟合,实验效果良好。

通过本次触发器实验,我学习了分析时序电路的步骤为:写出驱动方程——把驱动方程带到触发器的特性方程——得到状态方程——将所有可能的输入带到状态方程——得到次态——得到状态转换图。

同时,基于实验中对D触发器和JK触发器的设计与连线,我对这两个触发器特性方程进行了再次巩固,也更加理解了四句口诀的含义。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/80006.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

手工编译konsole备忘

背景 系统自带的终端弱爆了,本来想编译深度终端的,但DTK风格的程序在非DDE桌面(应该是dde_kwin这个窗管的问题)巨难看,无意中添加了Konsole,发现已经有我需要使用的右键打开当前目录文件管理器的功能。 …

Go context.Context的学习

一、前言 Golang context是Golang应用开发常用的并发控制技术,它与WaitGroup最大的不同点是context对于派生goroutine有更强的控制力,它可以控制多级的goroutine。 context翻译成中文是”上下文”,即它可以控制一组呈树状结构的goroutine&a…

java计算机毕业设计ssm疫情期间校园车辆入校预约管理服务系统1171a(附源码、数据库)

java计算机毕业设计ssm疫情期间校园车辆入校预约管理服务系统1171a(附源码、数据库) 项目运行 环境配置: Jdk1.8 Tomcat8.5 Mysql HBuilderX(Webstorm也行) Eclispe(IntelliJ IDEA,Eclispe,MyEclispe…

没有二十年功力,写不出 Thread.sleep(0) 这一行“看似无用”的代码

这篇文章要从一个奇怪的注释说起,就是下面这张图: 我们可以不用管具体的代码逻辑,只是单单看这个 for 循环。 在循环里面,专门有个变量 j,来记录当前循环次数。 第一次循环以及往后每 1000 次循环之后,进…

ssm+vue基本微信小程序的校园二手商城系统 计算机毕业设计

在当今社会的高速发展过程中,产生的劳动力越来越大,提高人们的生活水平和质量,尤其计算机科技的进步,数据和信息以人兴化为本的目的,给人们提供优质的服务,其中网上购买二手商品尤其突出,使我们…

211大数据专业大四学生,放弃字节转正,选择老家大型国企,听听他怎么说?...

点击上方 "大数据肌肉猿"关注, 星标一起成长点击下方链接,进入高质量学习交流群今日更新| 1052个转型案例分享-大数据交流群分享学习群一位大数据专业同学的秋招学习和求职经历,他是211大四学生,年初才开始学习,但还好赶…

181.基于Django的云文件存储使用方式——七牛云存储

1.文件云存储 1.1 概述 在Django项目中,用户上传的文件以及项目中使用的静态文件,默认读书存储本地,保存在服务器中,但是,其实我们也可以将他们保存在云存储中,譬如七牛云存储、阿里云存储、亚马逊云存储…

【网络安全】提防黑客来“敲门”

前言 互联网在给我们带来便捷高效的同时,也给一些不法分子提供了可乘之机。网络诈骗、窃取个人信息等花样层出不穷,骚扰电话、垃圾短信扰乱着我们的正常生活,使网络空间抹上一笔灰色。网络安全与每个人都息息相关,所以我们必须理…

Python测试进阶(三)

文章目录性能测试JMeter测试计划模拟并发结果分析分布式性能监控grafanaFluxPrometheus小结性能测试 为什么做性能测试?主要是解决这些问题 什么是性能测试 模拟多个用户的操作,看对服务器性能的影响 指标 TPS:transaction per secondRT&…

基于Kubeadm快速部署一个K8s集群

目录kubeadm概述安装要求准备环境安装kubelet、kubeadm、kubectl使用kubeadm引导集群下载各个机器需要的镜像初始化主节点安装网络组件常用shell命令测试kubernetes集群部署dashboardkubeadm概述 kubeadm是官方社区推出的一个用于快速部署kubernetes集群的工具。 这个工具能通…

索引创建、删除的sql语句

目录 创建索引 使用ALTER TABLE 语句创建索引 使用CREATE TABLE 语句创建索引 删除索引 使用ALTER TABLE 语句删除索引 使用DROP INDEX 语句删除索引 创建索引 1、创建表的同时,指定给某个字段创建索引(name) create table cat(id …

SaaS 产品的文档策略

作者:Vaijayanti Nerkar 和 Priya Shetye,BMC Software 几年前,当 BMC Software 决定进军 SaaS 市场时,该公司开始投资开发基于云的产品。20多年来,BMC Software 产品都是典型的本地产品,因此,…

2022.12.11-YOLOv5使用NCNN将模型部署到Android端教程(1)部署自己的训练模型到Android实现静态图片检测

文章目录1. 前言2. 模型转换2.1. NCNN2.1.1. 简介2.1.2. ncnn2.1.3. ncnn-android-yolov52.2. 项目准备2.2.1. 安装Android studio2.2.2. 下载解压源码2.3. 安卓源码重新编译2.3.1. 构建工程2.3.2. 修改源码2.3.2.1. 修改CMakeLists.txt中的路径2.3.2.2. 重新重新ysnc project2…

【华为上机真题 2022】相对开音节

🎈 作者:Linux猿 🎈 简介:CSDN博客专家🏆,华为云享专家🏆,Linux、C/C、云计算、物联网、面试、刷题、算法尽管咨询我,关注我,有问题私聊! &…

【无需注册账号】只需两步, Ai Studio上也可以玩[ChatGPT]了

☆ 只需两步, Ai Studio上也可以玩[ChatGPT]了 ☆ 无需账号即可体验喽~ 体验地址 只需两步, Ai Studio上也可以玩[ChatGPT]了 文章目录☆ 只需两步, Ai Studio上也可以玩[ChatGPT]了 ☆0 Fork后进入项目应用中心体验☆本页面下方体验☆注意…

5G无线技术基础自学系列 | 勘测准备

素材来源:《5G无线网络规划与优化》 一边学习一边整理内容,并与大家分享,侵权即删,谢谢支持! 附上汇总贴:5G无线技术基础自学系列 | 汇总_COCOgsta的博客-CSDN博客 基站在详细的勘测之前需要做的准备包括…

CN_UDP协议

文章目录UDP协议UDP概述UDP的首部格式UDP数据报封装入IP数据报UDP校验伪首部真首部UDP数据报处理例UDP vs TCPUDP协议 User Datagram Protocol - Wikipedia 1Attributes2Ports3UDP datagram structure4Checksum computation 4.1IPv4 pseudo header4.2IPv6 pseudo header 5Reli…

百度安全查询,查询网址是否存在百度安全风险的方法

如果网站被百度安全识别为风险网站,或者是提示该页面可能存在虚假信息,该页面可能已被非法篡改,那么就不妙了。 怎样才能知道自己的网是否存在百度安全风险? 查询网站百度安全的方法: 第一步、打开SEO综合查询工具 第二步、添加…

[附源码]Python计算机毕业设计SSM基于的校园失物招领平台(程序+LW)

项目运行 环境配置: Jdk1.8 Tomcat7.0 Mysql HBuilderX(Webstorm也行) Eclispe(IntelliJ IDEA,Eclispe,MyEclispe,Sts都支持)。 项目技术: SSM mybatis Maven Vue 等等组成,B/S模式 M…

线程池 (通俗易懂)

线程池一、线程池是什么二、标准库中的线程池三、实现线程池3.1 思路与细节3.2 完整代码四、标准库里的构造方法一、线程池是什么 之前我们已经认识过"池":String,字符串常量池;MySQL JDBC,数据库连接池(DataSource)……