BOM/PCB/Gerber比对功能再升级,华秋DFM新版邀您体验!

news2024/10/5 20:09:22

在电子产品开发过程中,方案选型、原理图设计、BOM制作、PCB设计再到PCB、PCBA的生产都会经历多次版本迭代。在硬件开发过程中BOM文件的迭代、PCB设计的版本迭代是最为常见的,所以对应的版本管控至关重要,只有清晰地了解不同版本的差异,才能保证经历几次迭代后,最终成品的高品质交付。

使用一款高效便捷的比对工具可以事半功倍,因此时隔一个月,华秋DFM为了更好的为大家服务,再次迎来新版发布

结合忠实用户的反馈、以及为了有更加实用、便捷的体验,对如下功能做了优化:

BOM对比功能

01

交互界面优化

交互界面更新为高清版,并且导入、导出按钮位置更加明显,用户体验更加流畅。

02

功能优化

① 默认排序:比对结果按照原始BOM行显示。

② 差异优先:比对结果按照有差异的行优先显示。

③ 清空数据:勾选此按钮,关闭BOM比对工具,同时清空工具里面的数据。

④ 比对结果:报红提示有差异的行,并显示有差异的具体位置。

⑤ 文件表头:表头识别不正确时,可进行表头调整。

⑥ 位号重复提示:导入文件后点击BOM比对,有位号重复时弹窗提示。

⑦ 行与列数据:显示鼠标选中的行与列的位置。

⑧ 修改参数:鼠标选中要修改的位置,点击修改,可修改BOM文件里面的参数。

⑨ 位号详情:当位号有重复时,或者位号有缺失,都会在下方显示。

PCB/Gerber文件对比

01

交互界面优化

与BOM比对工具一样,在打开比对文件的界面,做了加强引导,方便新用户做文件的导入导出,支持的文件格式有:Allegro、PADS、 Altium、 Gerber、ODB文件等。

02

功能优化

① 比对范围:可按照全部范围、profile以内以及自定义范围检测要对比的位置。

② 比对系数:可按照图形的像素点大小进行比对差异点。

③ 比对数据:清空比对结果,同时删除_1层打勾时,可以清空比对的所有层。

④ 比对层选择:可勾选需要比对的层选择性比对。

⑤ 比对差异显示:可显示全部结果、显示差异、差异优先。

⑥ 比对结果查看:点击查看可定位到板内具体差异的位置。

⑦ 比对文件操作:点击“比较”比对差异点,点击“关闭”关闭操作窗口并清空数据。

⑧ 单层比对:选择原始文件、对比文件层,可选择需要比对的一层。

⑨ 增加比对层:点击加号按钮可增加一栏选择层。

⑩ 删除比对层:如需要单独删除比对层结果,可点击删除按钮进行删除。

华秋DFM秉承为广大硬件工程师开发免费高效软件的初衷,一直在努力优化和开发好用的功能,增加更多可以使用的场景等。

目前是国内首款免费的PCB可制造性和PCBA装配分析软件,拥有300万+元件库,可轻松高效完成装配分析。其PCB裸板的分析功能,开发了19大项,52细项检查规则,PCBA组装的分析功能,开发了10大项,234细项检查规则。

基本可涵盖所有可能发生的制造性问题,能帮助设计工程师在生产前检查出可制造性问题,且能够满足工程师需要的多种场景,将产品研制的迭代次数降到最低,减少成本。

华秋DFM软件下载地址(复制到电脑浏览器打开):

https://dfm.elecfans.com/uploads/software/promoter/hqdfm_DFMGZH.zip

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/727864.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

武汉理工大学第四届ACM校赛

武汉理工大学第四届ACM校赛传送门 A-ST和TS回文问题 这种题都是有一定简单的规律的 如果每个字符都相等的话,肯定存在 如果字符串T的长度是字符串S的整数倍的话,如果字符串S是回文串或者T的长度是S的长度的奇数倍的话,那么存在 否则不存在 就自己构造字符串来找规律&…

121.实战网页图片优化

如上图所示,这个图标的内部尺寸为14791459,但是我们实际呈现的渲染的效果为570562;所以我们可以调整一下图像的大小,缩小图片的文件大小; 我们可以修改他的尺寸,文件的大小就缩小了。但是在网页上显示一点区…

软件测试之单元测试详解

目录 前言: 1、什么是单元测试? 2、什么是好的单元测试? 3、怎么写单元测试? 4、玩转单元测试 前言: 单元测试是软件测试中的一种测试方法,用于验证代码中最小可测试单元的正确性。它主要关注对程序的…

云上百世慧|「慧」聚智能制造的全流程质量管控(我们在7月31日等你)

在制造业的迅猛发展中,「质量管控」一直是企业面临的重要挑战和痛点。如何对「原材料、组件、生产过程和最终产品」进行严格的监控和控制? 稳抓行业痛点,优化质量流程 质量管控,是确保产品符合标准的关键环节。通过严格的监控和控…

卸载mathtype导致的word问题 MathPage.wll not found

MathType 6.9简体中文版是一款功能很强大的数学公式编辑器,但是因为不明原因,有时会出现找不到MathType.dll或者MathPage.wll文件找不到的错误窗口提示,而导致软件无法正常使用,本文将教您解决MathPage.wll或MathType.dll文件找不到的问题。 错误提示&a…

5 给属性赋值的几种方式

首先创建两个类,Person和Dog。为了可以被扫描到,在前面加入Component注解。 Person类如下: package jiang.com.helloworld.pojo;import org.springframework.boot.context.properties.ConfigurationProperties; import org.springframework.…

React 之 过渡动画

一、React的过渡动画 在开发中,我们想要给一个组件的显示和消失添加某种过渡动画,可以很好的增加用户体验 可以通过原生的CSS来实现这些过渡动画,但是React社区为我们提供了react-transition-group用来完成过渡动画 React曾为开发者提供过动画…

MySQL表/用户权限等基本操作

MySQL表操作练习题: 第一题: 具体要求如下所示: 1. 创建数据库Market: CREATE DATABASE Market;2. 创建customers表: 表结构如图所示: CREATE TABLE customers( c_num INT(11) PRIMARY KEY, c_name…

TiDB(8):技术内幕之计算

1 关系模型到 Key-Value 模型的映射 在这我们将关系模型简单理解为 Table 和 SQL 语句,那么问题变为如何在 KV 结构上保存 Table 以及如何在 KV 结构上运行 SQL 语句。 假设我们有这样一个表的定义: CREATE TABLE User {ID int,Name varchar(20),Role …

基于springboot+vue的文超市进销存管理系统(源代码+数据库+12000字论文)083

基于springbootvue的文超市进销存管理系统(源代码数据库12000字论文)083 一、系统介绍 (本项目有ssmvue版本) 本系统分为管理员、用户、员工三种角色 用户角色包含以下功能: 登录、注册、购物车、订单提交、商品评论、收藏、充值、收货地址管理、收藏管理、订单…

NXP-无感BLDC代码MCSPTE1AK116_BLDC_6Step代码详解

目录 开发平台 工程目录 Generated_Code Sources Config 电机的参数 BLDC参数 无感模式下的一些参数 Peripherals FTM/PDB/ADC配置参数 actuate_s32k meas_s32k motor_structure state_machine main main()主函数 PORT_IRQHandler() PDB0_IRQHandler() FTM1…

最大正方形 · Maximal Square

链接: 题解:九章算法 - 帮助更多程序员找到好工作,硅谷顶尖IT企业工程师实时在线授课为你传授面试技巧 1.暴力的方法:遍历每一个(i,j)位置,如果当前点为1,则以当前节点为…

如何编写PlantUml文本绘图时序图

效果如图 代码示例 startumlparticipant "上游" as BEGIN participant "SFTP" as SFTP control "文件系统" as FILE participant "业务系统" as BUSactivate BEGIN BEGIN ->SFTP: 上传文件 activate SFTP autonumber 1.0 FILE -&g…

常用的网址

画图网页: https://www.processon.com/diagrams 二进制转换网页: https://tool.oschina.net/hexconvert/ 在线网络计算器 https://www.sojson.com/convert/subnetmask.html 学习网站掘金: https://juejin.cn 注册外网账号网页&#xff1a…

使用Lambda表达式对List<Map<String,Object>>中key值相同的Map进行分组合并

现有两张表A表和B表,A表存放的是各省市的认证次数,B表存放的是各省市的申领次数,重点关注dq,cs这两个字段,其他的字段可忽略 A表(省市认证次数表) B表(省市申领次数表) 项目中有以下…

辅助性能优化——长安链性能分析工具原理及用法

如何提升区块链系统性能是很多开发者都会关注的事,但是有些对区块链并非十分熟悉的开发者可能会感到没有头绪。长安链提供了性能分析工具帮助开发者梳理系统耗时,优化系统性能。下面对长安链性能分析工具原理及使用进行介绍。 一、 概述 time_counter.s…

Windows兼容性设置图文教程,Windows兼容模式怎么设置?服务器兼容是什么意思?服务器兼容性怎么改?

兼容性(compatibility)是指硬件之间、软件之间或是软硬件组合系统之间的相互协调工作的程度。兼容的概念比较广,相对于硬件来说,几种不同的电脑部件,如CPU、主板、显示卡等,如果在工作时能够相互配合、稳定…

备战秋招004(20230706)

文章目录 前言一、今天学习了什么?二、关于问题的答案1.SE 总结 前言 提示:这里为每天自己的学习内容心情总结; Learn By Doing,Now or Never,Writing is organized thinking. 目前的想法是,根据 Java G…

三种方法将视频转换为AVI格式,与大家分享!

将视频转换为AVI格式是常见的需求,因为AVI格式具有广泛的兼容性和可编辑性。本文将介绍三种常用的方法,包括记灵在线工具、剪映和格式工厂。这些方法简单易行,帮助您将视频文件快速转换为AVI格式,满足不同的需求。 方法一&#x…

EasyCVR接入大量设备级联后出现分组加载异常是什么原因?

EasyCVR可拓展性强、视频能力灵活、部署轻快,可支持的主流标准协议有GB28181、RTSP/Onvif、RTMP等,以及厂家私有协议与SDK接入,包括海康Ehome、海大宇等设备的SDK等,能对外分发RTSP、RTMP、FLV、HLS、WebRTC等格式的视频流。 有用…