vivado工程转换到quartus下联合modelsim仿真

news2024/10/6 6:47:52

vivado用习惯了,现在快速换到quartus下仿真测试。写一个操作文档,以fpga实现pcm编码为例。

目录

一、建立工程

1、准备源码和仿真文件

 2、新建工程

3、加载源文件

4、选择器件

5、仿真器配置

6、工程信息

二、配置工程

7、设置顶层文件

8、配置仿真

9、配置仿真工具路径

三、编译仿真

10、编译

11、仿真

12、正弦波形配置

12.1 Radix配置

12.2 Format配置


一、建立工程

1、准备源码和仿真文件

首先在非中文路径下新建一个目录adpcm_quartus,放进去源码和仿真文件

 2、新建工程

打开quartus II 13.1,file -> new project wizard,新建一个工程。

3、加载源文件

4、选择器件

根据自己的需要随便选,如果不下板子,就点next

5、仿真器配置

这个仿真器配置只针对该工程,不影响其他工程使用。选择modelsim和verilog hdl。

为啥不使用modelsim-altera呢,因为这样就要按照quartus的格式修改tb文件,比如删除,否则加载不出来波形。

6、工程信息

点finish

二、配置工程

7、设置顶层文件

我们导入的源文件里面有一个顶层文件,但是quartus不知道哪个是顶层,手动将其配置为顶层文件。

如果没配置顶层就会报错,如下图:

8、配置仿真

8.1 点击进入setting界面下,

进入以后,我们可以看到仿真工具名字为modelsim,如果不是,改过来。

点击"Test Benches"按钮,进入仿真文件加载界面。

点击”new“,加载文件。

下图紫色框1中”Test bench name“和”Top level module in test bench“命名和tb文件名要一致,这个名字也是tb文件里module的名字,之后依次点击加载tb文件,后面3个界面连点ok。

 

9、配置仿真工具路径

点击tools -> Options -> EDA Tool Options,然后配置Modelsim的启动路径,其他的路径不用管,不影响。

三、编译仿真

10、编译

下图中2个操作任意一个都可以编译。

编译好的结果如下:

11、仿真

方法1:

 方法2:(推荐!)

然后弹出modelsim界面,

 之后弹出空白波形,这时候不要动,等待一会。(注意看左下角会有加载的信息)

加载波形出来之前会有弹窗,点“否”。

就会加载出来波形,如果波形有红色,说明为读取数据为x态。查看仿真文件中读取路径是否正确。

 我们修改路径后,再次测试看波形。

12、正弦波形配置

12.1 Radix配置

12.2 Format配置


参考文献:

FPGA学习笔记---Modelsim使用技巧总结_modelsim波形高度_嵌入式@hxydj的博客-CSDN博客

Quartus同Modelsim的联合仿真_quartus和modelsim联合仿真_1个程序源的博客-CSDN博客

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/503042.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【多线程】初识线程,基础了解

目录 认识线程 概念 什么是线程? 为啥要有线程 进程和线程的区别 Java 的线程 和 操作系统线程 的关系 创建线程 1.继承 Thread 类 2.实现 Runnable 接口 3.通过匿名内部类方式创建Thread与实现Runnable 4.Lmabda表达式 Thread 类及常见方法 Thread 的常见构造方法…

点评项目最后一篇:基于HyperLogLog实现UV统计

文章目录 1 HyperLogLog2 测试百万数据的统计 1 HyperLogLog 首先我们搞懂两个概念: UV:全称Unique Visitor,也叫独立访客量,是指通过互联网访问、浏览这个网页的自然人。1天内同一个用户多次访问该网站,只记录1次。…

无线键盘有几种连接方式?(USB接收器连接(无线2.4g)、蓝牙连接、wi-fi连接、红外线连接)

文章目录 无线键盘有哪几种连接方式?各连接方式优缺点 无线键盘有哪几种连接方式? 无线键盘有以下几种连接方式: 通过USB接收器连接(无线2.4g):无线键盘通过USB接收器与电脑连接,一般需要插入电…

3W字吃透:微服务 sentinel 限流 底层原理和实操

40岁老架构师尼恩的掏心窝: 现在拿到offer超级难,甚至连面试电话,一个都搞不到。 尼恩的技术社群中(50),很多小伙伴凭借 “左手云原生 右手大数据 SpringCloud Alibaba 微服务“三大绝活,拿…

MySQL 数据库 增删查改、克隆、外键 等操作

数据库中有数据表,数据表中有一条一条的记录。 可以用Navicat 等远程连接工具链接数据库,不过数据库需要开启授权。 SQL 字段数据类型 int:整型,默认长度是11 float:单精度浮点,4字节32位 double&#x…

Kyligence Zen产品体验-让大数据分析更轻松

很高兴有机会为大家分享我对 Kyligence Zen 产品的真实体验。在过去的几周中,我花费了大量时间使用这个企业级指标平台,并发现它在帮助企业处理和分析海量数据方面拥有强大的能力。 作为数据分析师,我们经常需要处理和分析大量的数据。这可能…

K8S管理系统项目实战[前端开发]-1

前端 Vue 入门与进阶 Vue ElementPlus 组件库 K8s管理系统项目实战[前端开发]-1 项目概述、框架搭建 Vue前端开发:整体布局 Vue前端开发:工作流 Vue前端开发:登录登出、部署、总结 一、项目慨述 本节是k8s管理系统项目实战的前端开发…

Endnote引用中文、英文参考文献方法(不用手敲中文文献信息)

目录 引用英文参考文献 插入中文参考文献格式 导入出错的解决方案 引用英文参考文献 打开知网的官网,选择想要导出成endnote格式的文献(在文献前面打√,即为选中状态),在导出与分析-导出文献-endnote,即…

配置Visual Studio Code连接远程服务器

目录 一、Windows用户需要先配置好本地的Remote SSH相关服务 二、打开VS Code,在扩展中搜索"Remote - SSH"并安装​编辑 三、详细操作 四、在出现的config配置文件中写入以下信息 五、点击VSCode界面最左侧的远程资源管理器 六、输入密码&#xff0…

记录一个特殊场景下,修改代码,idea 需要 mvn clean install的问题

修改java代码,mvn clean install 才能生效的场景,在网上看到一些方法,但是都没有生效。eg: 删除.idea, 删除后重新导入。每次修改代码测试的时候都要手动 clean install才可以,比较麻烦。 跑代码的时候,自…

HALCON多线程框架

1.整体架构 HALCON多线程处理框架基本思想是单独一个线程获取待处理图像,若干个图像处理线程并行执行 2.架构图 3.HALCON多线程并行相关算子 broadcast_condition clear_barrier clear_condition clear_event clear_message clear_message_queue clear_mutex cre…

Mac 安装 Python3.10 和 配置环境

Mac 安装 Python3.10 并且配置环境 一、Python的安装 访问官网:https://www.python.org/选择系统(Mac): [https://www.python.org/downloads/macos/] 3.选择一个版本下载。我这里选择了3.10.X 下载页面:https://www.python.or…

Qt Creator 快捷键

一、快捷键 下面是Qt常用快捷键用法,如下: 1、构建 快捷键功能ctrl/注释ctrlr运行ctrlb编译 2、查找 快捷键功能ctrlf单文件查找ctrlshiftf多文件查找ctrlshiftu查找所有使用该符号的地方(先选中某个符号,执行快捷键&#xff0…

句子扩写软件-文案扩写软件

什么是扩写软件 扩写软件是一种工具,可以帮助用户对一段文本进行扩展,增加文本的长度和信息量,同时保持原始内容的基本意思和结构不变。简单来说,扩写软件就是通过自然语言处理技术,对原始文本进行修改或补充&#xf…

搭建一个SpringSecurity项目

首先需要有一点的SpringBoot基础再来搭建会更好一点 springsecurity项目主要用于权限,验证等操作,非常方便 选择新建项目——选择spring Initializr项目 相对应的设置大家可以自行设置然后点击下一步 这里我选择两个依赖,也不用过多&#xff…

java实现mysql两个数据库对比表结构是否一致

【使用场景】 本地开发完后,增加或者删除了字段,或者修改了字段属性。 如何判定现场环境和本地环境的数据表结构一致性? 肉眼看的话,实在是一个大的工作量,所以开发了此工具。 【类存放路径】 CompareDbMain是主函数…

Spring更简单的存取Bean

在上一篇blog里边我介绍了spring项目的创建以及Bean对象的存储和读取。 存储:1.首先创建Bean对象 2.将Bean对象注册到Spring容器中【Bean标签】 读取:1.获取Spring上下文对象 2.获取指定的Bean对象 3.使用Bean对象 但是随着Bean对象的增多以及使用频率的…

【面试】Java 反射机制(常见面试题)

文章目录 前言一、反射是什么?二、为什么要有反射三、反射 API3.1 获取 Class 对象的三种方式3.2 获取成员变量3.3 获取构造方法3.4.获取非构造方法 四、实践五、常见面试题5.1. 什么是反射?5.2. 哪里用到反射机制?5.3. 什么叫对象序列化&…

IDEA沉浸式编程体验

前言 IntelliJ IDEA:前不久推出了一个新的概念Fleet编辑器。用过的人都知道,不过是模仿VScode整一套,但相比之下,fleet虽然在传统IDEA的基础上简约了不少,一旦打开智能模式,那内存占用刷一下就上去了,没个3…

Apache Atlas(1):Atlas 入门

1 Atlas 概述 Apache Atlas 为组织提供开放式元数据管理和治理功能,用以构建其数据资产目录,对这些资产进行分类和管理,形成数据字典。并为数据分析师和数据治理团队,提供围绕这些数据资产的协作功能。 注:数据字典&…