KDJB-802微机继电保护测试仪

news2024/9/26 3:26:46

一、产品概述

KDJB-802微机继电保护测试仪是在参照电力部颁发的《微机型继电保护试验装置技术条件(讨论稿)》的基础上,广泛听取用户意见,总结目前国内同类产品优缺点,充分使用现代新的的微电子技术和器件实现的一种新型小型化微机继电保护测试仪。

它采用单机运行,亦可联接笔记本电脑运行的新的结构。主机内置新一代高速数字信号处理器微机、真16位DAC模块、新型模块式高保真大功率功放,自带大屏幕液晶显示器以及灵活方便的旋转鼠标控制器。单机操作即已具有很强的功能,可进行大多数试验,联接电脑运行则具有操作功能。

具有大型测试仪优越的性能、新的的功能,又具有小型测试仪小巧灵活、操作简便、可靠性高等优点,性能价格比高。是继保工作者得心应手的好工具。

二、主要特点

1.满足现场所有试验要求。本仪器具有标准的四相电压,三相电流输出,既可对传统的各种继电器及保护装置进行试验,也可对现代各种微机保护进行各种试验,特别是对变压器差功保护和备自投装置,试验更加方便和完美。

2.各种技术指标完全达到电力部颁发的DL/T624-1997《继电保护微机型试验装置技术条件》的标准。

3.经典的Windows XP操作界面,人机界面友好,操作简便快捷;高性能的嵌入式工业控制计算机和10.4寸分辨率为800×600的TFT真彩显示屏,可以提供丰富直观的信息,包括设备当前的工作状态及各种帮助信息等。

4.本机Windows XP系统自带恢复功能,避免因非法关机或误操作等引起的系统崩溃。

5.配备有超薄型工业键盘和光电鼠标,可以象操作普通PC机一样通过键盘或鼠标完成各种操作。

6.主控板采用DSP+FPGA结构,16位DAC输出,对基波可产生每周2000点的高密度正弦波,大大改善了波形的质量,提高了测试仪的精度。

7.功放采用高保真线性功放,既保证了小电流的精度,又保证了大电流的稳定。

8.采用USB接口直接和PC机通讯,无须任何转接线,方便使用。

9.可连接笔记本电脑(选配)运行。笔记本电脑与工控机使用同一套软件,无须重新学习操作方法。

10.具备GPS同步试验功能。装置可内置GPS同步卡(选配)通过RS232口与PC机相连,实现两台测试仪异地进行同步对调试验。

11.配有独立专用直流辅助电压源输出,输出电压分别为110V(1A),220V(0.6A)。以提供给需要直流工作电源的继电器或保护装置使用。

12.具有软件自较准功能,避免了要打开机箱通过调整电位器来校准精度,从而大大提高了精度的稳定性。

三、技术参数

1.交流电流源(3*40A)

2.直流电流源

3.交流电压源

4.直流电压源

5.开关量端子

6.其他

 四、装置面板说明

 

1. 显示屏:显示为10.4寸LED显示屏。

2. 装置辅助电源:可输出直流0;110V;220V电源,通过拨码开关切换,电流最大输出2A,可以给保护装置供电。

3. 开关量输入:用来采集保护装置输出开关量信号,测量时间或检测信号。可识别有源接点和无源接点,最大有源接点直流电压值DC220V,当接有源接点时,注意+COM为电源正极。

4. 开关量输出:用来控制其他设备,无源节点,最大容量AC220V/1A。

5. 三相电流输出端子:IA、IB、IC,IN为公共端,LED亮表示电流源开路指示。

6. 三相电压输出端子:UA、UB、UC,UN为公共端。

7. LED工作指示:LED闪烁表示等待工作,LED常亮表示正在工作。

8. 触摸板:类似于笔记本电脑触摸板,可全方位触摸控制。左右键:左键为确认键,右键可查看文件属性。

9. 键盘:用来输入定值数据,有开始、结束、退出快捷键,上下左右方向键选择。

10. 第四路电压输出端子:UX,UN为接地端。

11. RST:DSP控制板复位按钮,当软件显示发送数据失败或无设备连接时,按此按钮,使DSP板复位,同时屏幕右下方可出现USB设备的标记。

12. 通讯端口:USB通讯可外接笔记本电脑来控制操作仪器;网口通讯(选配),可实现多机控制功能,也可单机网口通讯控制操作仪器。

13. USB扩展接口:有两路USB接口,可接鼠标、键盘、U盘等USB设备。

14. 电源接口:通过配套连接线,接入AC220V交流电压,内部保险规格:10A,5mm*10mm;ON开:为电源开,OFF关:为电源关。

15. 接地:通过配套接地线安全接地。

16.侧面板:散热排气孔。(使用时请勿遮挡)

17.风扇排风孔:散热排风。(使用时请勿遮挡)

18.提手:为仪器提手,采用金属材料制成,结实耐用。

五、装置硬件组成

1. 内置高性能工业控制计算机

本装置采用高性能工控机作为控制计算机,配有自还原CF卡,8.4″800×600分辨率TFT真彩LCD显示器。本机带高性能专用工业键盘和鼠标,无须另接外设就可直接使用,软件在Windows XP操作系统下运行,操作简便。装置面板配有两个USB接口,可方便地进行数据存取、数据通信和软件升级等。(多功能接口可升级)

2. DSP数字信号处理系统

采用6000系列DSP控制器作为核心,FPGA可编程逻辑器件输出波形,由于采用的是DDS硬件输出波形的技术,使波形频率和相位精度相当高,同时,该系统与工控机通讯直接采用USB2.0接口,使得数据通讯稳定可靠。

3. D/A和A/D转换

采用高精度D/A转换器,同时采用有源低通滤波器,使输出波形平滑,幅频特性优良。同时控制系统还保留了7路7位A/D转换电路,可实时采集7路模拟量的输出波形并通过软件在屏幕上显示实际输出的波形,幅值和相位。

4. 高精度线性电压,电流功率放大器

电流、电压采用高性能线性放大器直接耦合输出方式,使电流,电压源可直接输出交流和直流波形,并可通过软件计算输出各种如方波、各次谐波叠加的组合波形,故障暂态波形等,可以较好地模拟各种短路故障时的电流,电压特征。功放电路采用进口大功率高保真模块式功率器件做功率输出级,结合精心合理设计的散热结构,具有足够大的功率冗余和热容量,功放电路具有完备的过热、过流、过压及短路保护,电流回路允许开路,不会损坏装置。面板有电流开路指示灯,以方便用户检查接线正确与否。同时面板还有电压过载或短路指示灯,当电压回路(在有输出情况下)发生短路时,该指示灯发亮并启动蜂鸣器报警。大电流限时采用独特的硬件,限时电路,克服了传统的软件限时的缺点,使大电流使用更安全更可靠。

5. 开关量输入、开关量输出

装置共有开关量输入端子8对,开关量输出端子4对。开入量、开出量都由光电隔离器和24V直流继电器组成,其工作电源为独立的24V工作电源,所以在COM端与开入量之间有24V的直流电压。以下是几种常见的开入量的接线示意图:

带电位的空接点:

电位翻转:

空接点:

6. 专用独立的直流电源输出

装置配有两路110V直流电源电压输出,头尾相接组成+110V、0V、-110V三个端子输出,只能作保护装置的工作电源,不能作直流操作电源使用。+110V、-110V两端子可输出220V直流电压。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/367135.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Delphi RSA加解密

感谢、感谢、感谢大佬的分享,https://github.com/ZYHPRO/RSAEncryptAndDecode 目录 1. 前言 2. 准备工作 3. Demo注意事项说明 3.1 公钥、私钥文本格式 3.2 回车键的影响 3.3 中文加解密说明 4. 结语 1. 前言 最近工作上安排了一个项目,与工商银行之…

whistle+SwitchyOmega配置代理解决白名单跨越

文章目录whistleSwitchyOmega配置代理什么是whistle什么是SwitchyOmega示例:作用为什么不直接使用SwitchyOmega代理whistleSwitchyOmega配置代理 什么是whistle whistle主要用于查看、修改HTTP、HTTPS、Websocket的请求、响应,也可以作为HTTP代理服务器…

数据结构-考研难点代码突破(树型查找 - 红黑树(RBT)插入流程图,删除)

文章目录1. 红黑树的定义和性质红黑树的插入操作流程红黑树的删除(了解)1. 红黑树的定义和性质 红黑树查找与删除的效率和AVL树相同。 但是因为AVL树在插入或删除节点可能破坏AVL树结构,而重新调整树的开销大。所以引出了红黑树。 红黑树的…

内网渗透(五十二)之域控安全和跨域攻击-搭建和查看域信任关系

系列文章第一章节之基础知识篇 内网渗透(一)之基础知识-内网渗透介绍和概述 内网渗透(二)之基础知识-工作组介绍 内网渗透(三)之基础知识-域环境的介绍和优点 内网渗透(四)之基础知识-搭建域环境 内网渗透(五)之基础知识-Active Directory活动目录介绍和使用 内网渗透(六)之基…

为什么你的客户不喜欢用你的知识库呢?

现在很多企业的知识库处于一个完全荒废的状态,极少顾客愿意去查询使用,有的客户甚至完全停止使用企业知识库,这到底是为什么呢?看过许多公司的知识库后,总结了几个知识库失败的原因,并且提出了几个解决方法…

flink sql 执行慢问题排查(flink jdbc where 条件没有下推数据库)

最近在使用 flink sql (jdbc)执行一个简单表同步,可是执行了半天都没有执行完,后面通过 jstack 找到了问题原因:where条件没有下推到数据库执行。 任务如下: create table mysql_a ( id1 string, id2 at…

vue路由跳转取消上个页面的请求

场景描述: ​ 项目中,有一个Steps 步骤条,包含三步审核, 当页面跳转时,不管当前处于第几步,都需要停止上个页面正在执行或还未执行的逻辑。 需求:当vue路由跳转时,取消执行上个页面…

和中国信通院美图公司技术专家见面,聊聊FinOps从概念到落地

成本优化成为企业上云的核心关切。目前,许多以“上云”为数字化转型路径的企业正面临着严重的云上超支问题。 数据库软件上市公司 Couchbase 曾发布一则报告称:一个典型的企业每年在云服务的支出超过3300万美元,这个数字比企业必要的支出还多…

【类与对象】封装对象的初始化及清理

C面向对象的三大特性:封装、继承、多态。具有相同性质的对象,抽象为类。 文章目录1 封装1.1 封装的意义(一)1.2 封装的意义(二)1.3 struct 和 class区别1.4 成员属性设置为私有练习案例:1 设计…

go gin学习记录5

有了前面几节的学习,如果做个简单的web服务端已经可以完成了。 这节来做一下优化。 我们实验了3种SQL写入的方法,但是发现每一种都需要在方法中去做数据库链接的操作,有些重复了。 所以,我们把这部分提取出来,数据库链…

【C/C++】中【typedef】用法大全

总结一下typedef用法,一共七种,分别是:为基本数据类型起别名、为结构体起别名、为指针类型起别名、为数组类型起别名、为枚举类型起别名、为模版函数起别名。 目录 一、为基本数据类型起别名 二、为结构体起别名 三、为指针类型起别名 四…

Windows应用之——设置定时关机

一 概述 本文介绍window设置自动关机的两种方式: cmd指令设置自动关机任务计划程序设置自动关机第三方定时关机软件 二 cmd指令设置自动关机—不推荐 2.1 自动关机-开启(管理员模式下) 依次点击‘“开始”,在“搜索程序和文件”中输入cmd&#xff0c…

Python GDAL读取栅格数据并基于质量评估波段QA对指定数据加以筛选掩膜

本文介绍基于Python语言中gdal模块,对遥感影像数据进行栅格读取与计算,同时基于QA波段对像元加以筛选、掩膜的操作。本文所要实现的需求具体为:现有自行计算的全球叶面积指数(LAI).tif格式栅格产品(下称“自…

关于Transformer的一些问题总结

一些与Transformer模型相关的问题总结,有不对的欢迎指出。 💡 残差网络为何可以解决梯度消失 对比1和2可以发现,对于普通网络,当有几个偏导很小的时候,梯度会迅速趋近于0;而对于残差网络,要趋近…

基于appium的app自动化测试框架

App自动化测试主要难点在于环境的搭建,appium完全是基于selenium进行的扩展,所以app测试框架也是基于web测试框架开发的 一、设备连接 (即构建基础的测试环境,保证可以驱动设备进行操作) 0.准备测试环境 1&#xff0…

第09章_MySQL子查询

第09章_子查询 讲师:尚硅谷-宋红康(江湖人称:康师傅) 官网:http://www.atguigu.com 子查询指一个查询语句嵌套在另一个查询语句内部的查询,这个特性从MySQL 4.1开始引入。 SQL 中子查询的使用大大增强了…

Spring MVC 源码 - HandlerAdapter 组件(一)之 HandlerAdapter

HandlerAdapter 组件HandlerAdapter 组件,处理器的适配器。因为处理器 handler 的类型是 Object 类型,需要有一个调用者来实现 handler 是怎么被执行。Spring 中的处理器的实现多变,比如用户的处理器可以实现 Controller 接口或者 HttpReques…

从零开始学typescript

https://coding.imooc.com/learn/list/412.html 公司花钱买的,我边学边做笔记 设置 vscode设置 然后下个Prettier - Code formatter 以后保存就能格式化了 下载ts npm install typescript3.6.4 -g ts版本 npm install -g ts-node8.4.1 node执行ts文件 这样&a…

_linux (TCP协议通讯流程)

文章目录TCP协议通讯流程TCP 和 UDP 对比TCP协议通讯流程 下图是基于TCP协议的客户端/服务器程序的一般流程: 服务器初始化: 调用socket, 创建文件描述符;调用bind, 将当前的文件描述符和ip/port绑定在一起;如果这个端口已经被其他进程占用了, 就会bind失 败;调用listen, 声…

FPGA入门系列15--SPI(文末有易灵思核心板及配套下载线)

文章简介 本系列文章主要针对FPGA初学者编写,包括FPGA的模块书写、基础语法、状态机、RAM、UART、SPI、VGA、以及功能验证等。将每一个知识点作为一个章节进行讲解,旨在更快速的提升初学者在FPGA开发方面的能力,每一个章节中都有针对性的代码…