17.1 Display system tasks

news2024/10/6 14:36:53

系统任务的显示组分为三类:显示和写入任务、选通监视任务和连续监视任务。
17.1.1 The display and write tasks
$display和$write系统任务的语法如语法17-1所示。

display_tasks ::=
    display_task_name [ ( list_of_arguments ) ] ;
display_task_name ::=
    $display | $displayb | $displayo | $displayh
    | $write | $writeb | $writeo | $writeh

17-1  Syntax for $display and $write system tasks

        这些是显示信息的主要系统任务例程。这两组任务完全相同,只是$display会自动在输出末尾添加换行符,而$write任务则不会$display和$write任务显示其参数的顺序与它们在参数列表中显示的顺序相同。每个参数可以是带引号的字符串、返回值的表达式或空参数。除非插入某些转义序列以显示特殊字符或指定后续表达式的显示格式,否则字符串参数的内容将按字面形式输出。
        转义序列以三种方式插入字符串:
特殊字符\表示后面的字符是文字字符或不可打印字符(见表17-1)。
—特殊字符%表示下一个字符应被解释为格式规范,该规范为后续表达式参数建立显示格式(见表17-2)。对于字符串中出现的每个%字符(%m和%%除外),应在字符串后面提供相应的表达式参数。
特殊字符串%%表示百分号字符%的显示(见表17-1)。任何空参数都会在显示中产生一个空格字符。(空参数由参数列表中的两个相邻逗号表示。)在没有参数的情况下调用$display任务时,只需打印一个换行符。没有参数的$write任务根本不会打印任何内容

17.1.1.1 Escape sequences for special characters(特殊字符的转义序列)

当包含在字符串参数中时,表17-1中给出的转义序列会显示特殊字符。

参数描述
\n换行符
\t制表符
\\\字符
\"“字符
\ddd以1–3个八进制数字(0≤d≤7)指定的字符。
如果使用的字符少于三个,则以下字符不得为八进制数字。
如果表示的字符大于\377,则实现可能会发出错误。
%%%字符

表17-1-打印特殊字符的转义序列

例如:

module disp;
initial begin
$display("\\\t\\\n\"\123");
end
endmodule

模拟该示例应显示以下内容:
\    \
"S

17.1.1.2 Format specifications

        表17-2显示了用于格式规范的转义序列。当包含在字符串参数中时,每个转义序列指定后续表达式的显示格式。对于字符串中出现的每一个%字符(%m和%%除外),参数列表中的字符串后面都应该有一个相应的表达式。显示字符串时,表达式的值将替换格式规范。
        任何没有相应格式规范的表达式参数都使用$display和$write中的默认十进制格式、$displayb和$writeb中的二进制格式、$displayo和$swriteo中的八进制格式以及$displayh和$writeh中的十六进制格式显示。

参数描述
%h or %H显示16进制格式
%d or %D显示10进制 格式
%o or %O显示8进制格式
%b or %B显示二进制格式
%c or %C以ASCII字符格式显示
%l or %L显示库绑定信息
%v or %V显示网络信号强度
%m or %M显示层次化名称
%s or %S显示为字符串
%t or %T以当前时间格式显示
%u or %U未格式化的2值数据
%z or %Z未格式化的4值数据

表17-2格式规范的转义序列

        格式化规范%l(或%L)是为显示特定模块的库信息而定义的。 该信息应显示为“library.cell”,与提取当前模块实例的库名称和当前模块实例单元名称相对应。 有关库和配置设计的信息,请参见第13条。
        格式化规范%u(或%U)是为写入不带格式(二进制值)的数据而定义的。应用程序应将指定数据的2值二进制表示传输到输出流。这个转义序列可以用于任何现有的显示系统任务,尽管$fwrite应该是首选的。
源中的任何未知或高阻抗位应视为零此格式说明符用于支持与没有x和z概念的外部程序之间的数据传输。鼓励需要保留x和z的应用程序使用%z I/O格式规范。数据应以底层系统的本端字节格式写入文件(即,按照与使用PLI和使用C语言write(2)系统调用相同的字节顺序)。数据应以32位为单位写入,首先写入包含LSB的字。格式化规范%z(或%z)是为写入不带格式(二进制值)的数据而定义的。应用程序应将指定数据的4值二进制表示传输到输出流。
        这个转义序列可以用于任何现有的显示系统任务,尽管$fwrite应该是首选的。此格式说明符用于支持与识别并支持x和z概念的外部程序之间的数据传输。不需要保留x和z的应用程序建议使用%u I/O格式规范。数据应以基础系统的原生端序格式写入文件[即,按照与使用PLI相同的端序顺序,数据采用s_vpi_vecval结构(参见27.14中的图27-8),并且使用C语言write(2)系统调用将结构写入磁盘]。数据应以32位为单位写入,结构包含先写入的LSB。

        表17-3中的格式规范与实数一起使用,并具有C语言中可用的全部格式功能。例如,格式规范%10.3g指定最小字段宽度为10,带3个小数位数。

参数描述
%e or %E以指数格式显示“实数”
%f or %F以十进制格式显示“实数”
%g or %G以指数或十进制格式显示“实数”,无论哪种格式都会缩短打印输出

表17-3:实数格式规范

        17.1.1.5至17.1.1.7中描述了网络信号强度、层次化名称和字符串格式规范。
        %t格式规范与$timeformat系统任务一起工作,以指定统一的时间单位、时间精度和格式,用于报告来自使用不同时间单位和精度的各种模块的计时信息。17.3.2中描述了$timeformat任务。
例如:

module disp;
reg [31:0] rval;
pulldown (pd);
initial begin
rval = 101;
$display("rval = %h hex %d decimal",rval,rval);
$display("rval = %o octal\nrval = %b bin",rval,rval);
$display("rval has %c ascii character value",rval);
$display("pd strength value is %v",pd);
$display("current scope is %m");
$display("%s is ascii value for 101",101);
$display("simulation time is %t", $time);
end
endmodule

仿真结果如下:

rval = 00000065 hex 101 decimal
rval = 00000000145 octal
rval = 00000000000000000000000001100101 bin
rval has e ascii character value
pd strength value is StX
current scope is disp
e is ascii value for 101
simulation time is 0

17.1.1.3 Size of displayed data
        对于表达式参数,写入输出文件(或终端)的值将自动调整大小。例如,当以十六进制格式显示时,12位表达式的结果将被分配三个字符,当以十进制格式显示时将被分配四个字符,因为表达式的最大可能值是FFF(十六进制)和4095(十进制)。
        显示十进制值时,前导零将被抑制并由空格替换
        在其他进制中,始终显示前导零。通过在%字符和表示基数的字母之间插入一个零,可以覆盖显示数据的自动大小,如下例所示:

module printval;
reg [11:0] r1;
initial begin
r1 = 10;
$display( "Printing with maximum size - :%d: :%h:", r1,r1 );
$display( "Printing with minimum size - :%0d: :%0h:", r1,r1 );
end
endmodule

显示结果如下:
Printing with maximum size - : 10: :00a:  (显示十进制值时,前导零将被抑制并由空格替换
Printing with minimum size - :10: :a:
        在此示例中,显示12位表达式的结果。对$display的第一次调用使用标准格式说明符语法,生成的结果需要分别为十进制和十六进制的四列和三列。第二个$display调用使用格式说明符语法的%0形式,并生成分别需要两列和一列的结果。

17.1.1.4 Unknown and high-impedance values
        当表达式的结果包含未知或高阻抗值时,某些规则适用于显示该值。
        十进制(%d)格式的规则如下:
如果所有位都处于未知值,则显示单个小写x字符
如果所有位都处于高阻抗值,则显示单个小写z字符
如果某些(但不是全部)位处于未知值,则显示大写的X字符
如果一些(但不是全部)位处于高阻抗值,则显示大写Z字符,除非也有一些位处于未知值,在这种情况下显示大写X字符
十进制数字在固定宽度字段中总是右对齐
        在十六进制(%h)和八进制(%o)格式中,规则如下:
每组4位表示为单个十六进制数字;每组3位表示为单个八进制数字
如果组中的所有位都处于未知值,则该数字将显示小写x
如果组中的所有位都处于高阻抗状态,则会为该数字打印小写的z
如果组中的某些位(但不是全部)未知,则该数字将显示大写X
如果组中的一些位(但不是所有位)处于高阻抗状态,则该数字将显示大写Z,除非还有一些位处于未知值,在这种情况下,该数字显示大写X。在二进制(%b)格式中,使用字符0、1、x和z分别打印每个位。例如下面的例子:

STATEMENT RESULT
$display("%d", 1'bx); x
$display("%h", 14'bx01010); xxXa
$display("%h %o", 12'b001xxx101x01,
12'b001xxx101x01); XXX 1x5X

17.1.1.5 Strength format
        %v格式规范用于显示标量网络的强度。对于字符串中出现的每一个%v规范,参数列表中的字符串后面都应跟随相应的标量引用。标量网络的强度以三个字符的格式报告。前两个字符表示强度。第三个字符表示标量的当前逻辑值,可以是表17-4中给出的任何一个值。

参数描述
0逻辑0
1逻辑1
X未知的值
Z高阻态值
L逻辑0或高阻态值
H逻辑1或高阻态值

        前两个字符(强度字符)是两个字母的助记符或一对十进制数字。通常,助记符用于指示强度信息;然而,在不太典型的情况下,可以使用一对十进制数字来指示强度级别的范围。表17-5显示了用于表示各种强度水平的助记符。
        有四个驱动强度和三个电荷存储强度。驱动强度与门输出和连续分配输出相关。电荷存储强度与trireg型网络相关。(强度建模见第7条。)
        对于逻辑值0和1,当信号中没有强度范围时,使用助记符。否则,逻辑值前面有两个十进制数字,表示最大和最小强度级别。

强度强度名称强度等级
SuSupply drive7
StStrong drive6
PuPull drive5
LaLarge capacitor4
WeWeak drive3
MeMedium capacitor2
SmSmall capacitor1
HiHigh impedance0

表17-5-强度等级的助记符

        对于未知值,当0和1强度分量处于相同强度级别时,使用助记符。 否则,未知值X前面有两个十进制数字,分别表示0和1强度级别。 高阻抗强度不能具有已知的逻辑值;该级别允许的唯一逻辑值是Z。 对于值L和H,助记符始终用于指示强度级别。例如:

always
#15 $display($time,,"group=%b signals=%v %v %v",{s1,s2,s3},s1,s2,s3);

下面的示例显示了这种调用可能产生的输出,而表17-6解释了输出中出现的各种强度格式。

0 group=111 signals=St1 Pu1 St1
15 group=011 signals=Pu0 Pu1 St1
30 group=0xz signals=520 PuH HiZ
45 group=0xx signals=Pu0 65X StX
60 group=000 signals=Me0 St0 St0
参数描述
St1强驱动1值
Pu0上拉驱动强1
HiZ高阻态
Me0中等电容强度的0电荷存储
StX强驱动的未知值
PuH

上拉驱动强度1或者高阻态值

65X具有强驱动0分量和上拉驱动1分量的未知值
520具有上拉驱动到中等电容器的可能强度范围的0值

表17-6-强度格式说明

17.1.1.6 Hierarchical name format(层次化命名格式)
        %m格式说明符不接受参数。相反,它使显示任务打印调用包含格式说明符的系统任务的模块、任务、函数或命名块的层次结构名称。当有许多调用系统任务的模块实例时,这很有用。一个明显的应用是触发器或锁存器模块中的定时检查消息;%m格式说明符应精确定位负责生成定时检查消息的模块实例。

17.1.1.7 String format(字符串格式)
        %s格式说明符用于将ASCII代码打印为字符。对于字符串中出现的每个%s规范,参数列表中的字符串后面都应该有相应的参数。相关参数被解释为8位十六进制ASCII码序列,每8位代表一个字符。如果参数是一个变量,其值应该右对齐,以便值的最右边的位是字符串中最后一个字符的最低有效位。字符串的结尾不需要终止字符或值,并且不会打印前导零

17.1.2 Strobed monitoring

$strobe系统任务的语法如语法17-2所示。

strobe_tasks ::=
    strobe_task_name [ ( list_of_arguments ) ] ;
strobe_task_name ::=
    $strobe | $strobeb | $strobeo | $strobeh

语法17-2-$strobe系统任务的语法

        系统任务$strobe提供在选定时间显示仿真数据的能力。该时间是当前仿真时间的结束时间,此时该仿真时间内的所有仿真事件都已发生,就在仿真时间提前之前。此任务的参数的指定方式与$display系统任务完全相同,包括特殊字符和格式规范的转义序列的使用(见17.1.1)。例如:

forever @(negedge clock)
    $strobe ("At time %d, data is %h",$time,data);

        在本例中,$strobe在时钟的每个负边沿将时间和数据信息写入标准输出和日志文件。该动作应在仿真时间提前之前发生,并在该时间发生所有其他事件之后发生,以确保写入的数据是该仿真时间的正确数据。

17.1.3 Continuous monitoring(连续监控)
$monitor系统任务的语法如语法17-3所示。

monitor_tasks ::=
    monitor_task_name [ ( list_of_arguments ) ] ;
    | $monitoron ;
    | $monitoroff ;
monitor_task_name ::=
    $monitor | $monitorb | $monitoro | $monitorh

语法17-3-$monitor系统任务的语法

        $monitor任务提供了监视和显示指定为任务参数的任何变量或表达式的值的能力。此任务的参数的指定方式与$display系统任务完全相同,包括特殊字符和格式规范的转义序列的使用(见17.1.1)。
        如果两个或多个参数同时更改值,则只生成一个显示新值的显示。一次只能激活一个$monitor显示列表;然而,在仿真过程中,可以多次发出带有新显示列表的新$monitor任务。
        $monitoron和$monitoroff任务控制启用和禁用监视的监视器标志使用$monitoroff关闭标志并禁用监视$monitoron系统任务可用于打开标志,以便启用监视,并且最近对$monitor的调用可以恢复显示。调用$monitoron后,无论值是否发生变化,都应立即显示;这用于在监控会话开始时建立初始值。默认情况下,监视器标志在仿真开始时打开。


$display 和$strobe 和$monitor的区别:
(1)当许多语句与 $display 任务在同一时间内执行时,这些语句和 $display 的执行顺序是不确定的,一般按照程序的顺序结构执行。两者的区别在于:$strobe命令会在当前时间部结束时完成;而$display是只要仿真器看到就会立即执行。例如:

`timescale 1ns/1ns
module test_tb();
 
reg [3:0]  a ;
initial begin
	$strobe("begin!");
	a = 1 ;
	#1 ;
	a <= a + 1 ;
	//第一次显示
	$display("$display excuting result: %d.", a);
	$strobe("$strobe excuting result: %d.", a);
	#1 ;
	$display();
	//第二次显示
	$display("$display excuting result: %d.", a);
	$strobe("$strobe excuting result: %d.", a);
	$strobe("end!");
end
 
endmodule 

运行结果如下:

 可以看到,$strobe与$display的打印内容不是一致的。这是因为该语句:    a <= a + 1 ;也就是
在第一次打印时,$display不会管a是阻塞赋值还是非阻塞赋值,它就直接打印a当前的值1。而$strobe则会等到非阻塞赋值完成后再打印,所以其打印的值为2。在第二次打印时,又延时了1ns,所以此时的非阻塞赋值完成,那么$strobe与$display的打印内容就均为2了。所以$strobe这个系统任务通常是用来打印当前非阻塞赋值的变量值的。
(2)$monitor 为监测任务,用于变量的持续监测。只要变量发生了变化,$monitor 就会打印显示出对应的信息。

`timescale 1ns/1ns
 
module test_tb();
 
reg [1:0]  a ;
reg [1:0]  b ;
reg [1:0]  c ;
 
initial begin
	a = 0 ;
	b = 0 ;
	c = 0 ;
 
	$monitor("a=%d b=%d c=%d",a,b,c);
	#50 $finish;	//50ns后停止
end
 
always #10 begin		//每10ns,随机生成a,b,c
	a = {$random}%4;
	b = {$random}%4;
	c = {$random}%4;
end
 
endmodule 

 (3) $display可以直接打印一条文本信息,并在每一次$display执行后会自动换行,$write的用法与$display一致,区别在于,一条$write语句执行完后,不会自动换行。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/366283.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

AI技术的发展,人工智能对我们的生活有那些影响?

人工智能&#xff08;Artificial Intelligence&#xff09;&#xff0c;英文缩写为AI。它是研究、开发用于模拟、延伸和扩展人的智能的理论、方法、技术及应用系统的一门新的技术科学。 人工智能是计算机科学的一个分支&#xff0c;它企图了解智能的实质&#xff0c;并生产出一…

使用spring boot自带log

spring boot starter 版本2.7.8 这个版本自带了slf4j-api 里面包含了logback-classic logback其他包 可以直接使用slf4j 但是配置的日志输出到文件是不能正常生效的 官网说明&#xff1a; Spring Boot Reference Documentation springboot 所有内部日志都使用 common loggin…

替代启攀微8按键触控八通道触摸芯片-GTC08L

能完美替代启攀微8按键触控八通道电触摸芯片-GTC08L芯片是一款非常适用于音响上超稳定超抗干扰低功耗八通道电容式触摸IC&#xff1b;可通过触摸实现各种逻辑功能控制&#xff1b;操作简单、方便实用&#xff1b;电压范围宽&#xff0c;可在2.7V&#xff5e;5.5V&#xff08;单…

JavaWeb--Mybatis练习

Mybatis练习Mybatis练习1 配置文件实现CRUD1.1 环境准备1.2 查询所有数据1.2.1 编写接口方法1.2.2 编写SQL语句1.2.3 编写测试方法1.2.4 起别名解决上述问题1.2.5 使用resultMap解决上述问题1.2.6 小结1.3 查询详情1.3.1 编写接口方法1.3.2 编写SQL语句1.3.3 编写测试方法1.3.4…

Redis学习(10)之Redis主从集群(2)

文章目录零 主从集群上篇文章参看一 CAP理论1.1 CAP概念1.2 CAP定理1.3 BASE 理论1.4 CAP 的应用二 Raft 算法2.1 Raft算法简介2.2 角色、任期及角色转变2.3 leader 选举2.3.1 follower要参加选举2.3.2 follower被要求投票2.3.3 Candidate等待响应2.3.4 选举时机2.4 数据同步2.…

stm32f407探索者开发板(二十一)——窗口看门狗

文章目录一、窗口看门狗概述1.1 看门狗框图1.2 窗口看门狗工作过程总结1.3 超时时间1.4 为什么需要窗口看门狗1.5 其他注意事项二、常用寄存器和库函数2.1 控制寄存器WWDG_ CR2.2 配置寄存器WWDG_ CFR2.3 状态寄存器WWDG_SR三、手写窗口看门狗3.1 配置过程3.2 初始化窗口看门狗…

android kotlin 协程(五) suspend与continuation

android kotlin 协程(五) suspend与continuation 通过本篇你将学会: suspendCoroutine{} suspendCancellableCoroutine{} suspend 与 continuation suspendCoroutine 第一次看到这玩意的时候肯定有点身体不适, 先不用管这个东西是什么, 目前为止 只需要知道 suspendCoro…

谈一谈你对View的认识和View的工作流程

都2023年了&#xff0c;不会还有人不知道什么是View吧&#xff0c;不会吧&#xff0c;不会吧。按我以往的面试经验来看&#xff0c;View被问到的概率不比Activity低多少哦&#xff0c;个人感觉View在Android中的重要性也和Activity不相上下&#xff0c;所以这篇文章将介绍下Vie…

【Python工具】WiFi工具

文章目录前言一、介绍二、使用步骤1.完整代码2.简单使用总结免责声明&#xff1a;前言 注意&#xff1a;此工具为自己测试&#xff0c;不可用作恶意使用。 考虑在windows中的wifi破解工具五花八门很多的广告程序&#xff0c;就自己写一个&#xff0c;原理比较简单&#xff0c…

11技术太卷我学APEX-数据加载

11技术太卷我学APEX-数据加载 0 所谓的数据加载 就是导入数据到数据库表中&#xff0c;本示例就采用Excel导入数据到《技术太卷我学APEX》的apex_learn表。表结构大概是这样的 CREATE TABLE "APEX_LEARN" ( "P_ID" NUMBER(17,0) NOT NULL ENABLE, &quo…

PMP真的有那么厉害?你需要考PMP吗?

这个含金量是有的&#xff0c;是目前项目管理界含金量较高的证书&#xff0c;但也要分人&#xff0c; 因为这是职业证书&#xff0c;主要用于提高职场工作能力&#xff0c;不搞这一行的&#xff0c;PMP证书含金量再高也是一张废纸&#xff0c;可以看下下面这张图&#xff0c;这…

【Redis】Redis 的过期策略以及内存淘汰机制详解

Redis 的过期策略以及内存淘汰机制详解1. Redis 的过期策略1.1 如何设置 key 的过期时间&#xff1f;1.2 key 设置且到了过期时间后&#xff0c;该 key 保存的数据还占据内存么&#xff1f;1.3 Redis 如何删除过期的数据1.3.1 定期删除1.3.2 惰性删除2. Redis 的内存淘汰机制2.…

智能新冠疫苗接种助手管理系统

项目背景介绍 近几年来,网络事业&#xff0c;特别是Internet发展速度之快是任何人都始料不及的。目前&#xff0c;由于Internet表现出来的便捷&#xff0c;快速等诸多优势&#xff0c;已经使它成为社会各行各业&#xff0c;甚至是平民大众工作&#xff0c;生活不可缺少的一个重…

【UE4 制作自己的载具】2-导入UE

在上一篇博客中&#xff08;【UE4 制作自己的载具】1-使用3dsmax制作载具&#xff09;已经完成了载具模型的制作&#xff0c;本篇需要完成的是将模型导入UE中并进行一些调整。本篇制作步骤&#xff1a;新建一个UE工程&#xff0c;可以添加一个载具模板创建一个“Vehicle”文件夹…

windows服务器上传文件解决方案

1.说明 1.如果上传到linux系统&#xff0c;通常使用ftp相关技术&#xff0c;配合windows端的ftp客户端工具比如FileZilla等进行大文件的上传工作。 2.同理windows服务器也可以开启ftp服务用来传输大文件。 3.本文介绍偷懒方式&#xff08;常规是开启windows的ftp服务&#xff0…

案例|山东省中医院基于ZABBIX构建网络设备监控预警平台

作者介绍&#xff1a;董晨&#xff0c;山东省中医院信息科机房运维管理 本文从背景、演进、成效来分享建设过程&#xff0c;最终得出结论&#xff0c;类似Zabbix的国产成熟产品市场价值动辄上百万&#xff0c;而我们以极小成本&#xff0c;为医院节省了大量资金&#xff0c;取…

Java多线程(一)--多线程基础知识

1. 为什么要使用并发编程提升多核CPU的利用率&#xff1a;一般来说一台主机上的会有多个CPU核心&#xff0c;我们可以创建多个线程&#xff0c;理论上讲操作系统可以将多个线程分配给不同的CPU去执行&#xff0c;每个CPU执行一个线程&#xff0c;这样就提高了CPU的使用效率&…

通过NPM生态系统中的依赖树揭开脆弱性传播及其演化的神秘面纱

通过NPM生态系统中的依赖树揭开脆弱性传播及其演化的神秘面纱 本文实现了一个依赖约束解析器来解决NPM依赖约束的多样性&#xff0c;并在此基础上构建了一个完整的依赖漏洞知识图&#xff08;DVGraph&#xff09;&#xff0c;以捕获所有NPM包之间的依赖关系。 https://www.se…

关于thumb2指令下函数运行地址对齐问题及验证固件分析

近期&#xff0c;在分析Thumb2指令的一个固件文件时&#xff0c;发现Thumb2指令集下执行函数的运行地址不对齐&#xff1f; 于是&#xff0c;为了分析一下原因&#xff0c;找了手头上现有的一款基于Cortex3内核的一块板子来实际执行看一下&#xff0c;结合编译后的Hex文件&…

【性能测试】loadrunner(一)知识准备

【性能测试】loadrunner&#xff08;一&#xff09;知识准备 目录&#xff1a;导读 1.0. 前言 1.1 性能测试术语介绍 1.2 性能测试分类 1.3 HTTP我们需要知道的 1.4 Loadrunner 12.55安装 1.0. 前言 ​ 在性能测试中&#xff0c;牵扯到了许多比较杂的知识点&#xff0c;…