Vivado FIR IP 详解 (一)

news2024/9/20 16:30:10

FIR滤波器是数字信号处理中常用的滤波器,除了通过Verilog代码自己实现外,Vivado提供了一个FIR滤波器 IP,可以直接调用。

一、什么是 Vivado FIR IP 核

FIR(Finite Impulse Response)滤波器即有限长单位冲激响应滤波器,是数字信号处理中非常重要的一种滤波器类型。

它具有线性相位、稳定性高等优点,在通信、音频处理、图像处理等众多领域都有着广泛的应用。

通过使用这个 IP 核,我们可以避免从头开始编写复杂的 FIR 滤波器代码,大大提高开发效率,同时也能保证滤波器的性能和可靠性。

二、FIR IP核的调用与配置

Vivado创建工程后,在IP Catalog中打开FIR Compiler配置界面。

5591657a94854138999b4c2097205b58.jpg​​​​

 1、Filter Options页面

这是滤波器选项配置,配置滤波器参数,左侧的“Freq Response”可查看对应的频率响应图。

​​​​ec8958df5cff4d2e89ea33c3fb698e9a.png 

(1)Filter Coefficients

Vivado FIR IP核没有设计滤波器和生成滤波器系数的功能,所以需要使用matlab等工具设计滤波器,并计算出滤波系数导入到IP中。

Select Source:滤波器系数来源设置,可选“COE Vector”或“COE File”。

选择“COE Vector”时,直接在“Coefficients Vector”中,输入滤波器系数向量。

选择“COE File”时,在“Coefficients File”中点击【文件夹】按钮,找到需要的coe文件,也可以点击【修改文件】按钮。

Number of Coefficients set:滤波通道数设置

Number of Coefficients(per set):自动识别出每一个通道滤波器系数的个数。

Use Reloadable Coefficients:使用可重载系数

(2)Filter Specifcation

Filter Type:滤波器结构设置,可选:

“Single Rate”(单速率,即数据输出与输入速率相同)。

其它多速率模式,Decimation(抽取)和 Interpolation(插值)、Hilbert(希尔伯特变换)模式等应用于多速率信号处理系统。

其它选项:不可设置

2、Channel specification页面

这是配置通道参数页面,设置滤波器运行时钟频率和采样频率。

9716bd24d7dc4247bae847ce0b8c2da3.png​​​​

 Channel Sequence:通道顺序选择,可选basic。

Number of Channels:数据通道数量。

Select Format:选择格式,选择用于指定硬件过采样率、内核可用于处理输入样本并生成输出的时钟周期数的格式。

Sample Period:输入或输出样本之间的时钟周期数。

Input Sampling Frequence:输入数据采样时钟速率,也就是采样频率。

Clock Frequence:滤波器运行时钟频率

注意:

如果需要处理多路数据合并后的串行数据时,可设置多个通道,每个通道间都以tlast信号隔开,表示一个通道信号数据结束。

3、Implementation页面

根据滤波器设计,例如设置FIR滤波器系数为12bit有符号整数,输入数据设置为12bit有符号整数,其他保持默认设置。

​​​​25ee277e5c384e6880b482300ea72a7d.png

 (1)Coeffcient Options

Coeffcient Type:系数类型,有符号数、无符号数

Quantization:量化方式,

Coeffcient Width:系数位宽

Coeffcient Fractional Bits:小数位数

Coeffcient Structure:近似方式

(2)Data Path Option

Input Data Type:输入数据类型

Input Data Width:输入数据位宽

Input Data Fractional Bits:输入数据小数位数

Output Rounding Mode:近似方式

Output Width:输出位宽

4、Detailed Implementation页面

这里是配置IP核布线优化策略,以及关键逻辑和功能的资源消耗类型设定,一般默认即可。

58d9d8fb579f48ed89a9c733f4a0863e.jpg​​​​

 5、Interface页面

这是接口配置页面,可配置输入输出数据接口以及控制接口。

​​​65a7d22b4e664023b292428e1970afb0.png

 (1)Data Channel Options

TLAST信号配置:

不需要:不添加该端口。

矢量成帧:表示每个数据信道最后一个数据。

包成帧:同步输出数据通道tlast。

TUSER信号配置:

表示数据通道的起始信号,设置输入输出接口是否添加该信号。

ARESETn:添加复位信号。

ACLKen:添加使能时钟信号。

三、FIR IP核的主要接口

1a803ed34d9845dd8f0790a54704f4cb.jpg

aresetn:复位信号,低电平有效;

aclk:时钟信号;

s_axis_data_tdata:输入采样数据;

s_axis_data_tready:输出信号,1 表示IP核已准备好接收采样数据;

s_axis_data_tvalid:表示当前输入的采样数据是否有效;

m_axis_data_tdata:表示滤波后的输出数据;

m_axis_data_tvalid:表示当前输出数据是否有效。

更多功能和配置,请阅读官方手册:

https://pan.quark.cn/s/4ff6fef62ca1

640?wx_fmt=jpeg​​​​

如果需要更多学习资料和源码,想要学习FPGA实战入门进阶,请阅读下面这篇文章:
 

FPGA实战入门真的难吗?看这里,少走弯路,少踩坑。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2149407.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

兔子检测系统源码分享

兔子检测检测系统源码分享 [一条龙教学YOLOV8标注好的数据集一键训练_70全套改进创新点发刊_Web前端展示] 1.研究背景与意义 项目参考AAAI Association for the Advancement of Artificial Intelligence 项目来源AACV Association for the Advancement of Computer Vision …

安卓13设置动态显示隐藏第一页的某一项 动态显示隐藏无障碍 android13设置动态显示隐藏第一页的某一项

总纲 android13 rom 开发总纲说明 文章目录 1.前言2.问题分析3.代码分析4.代码修改4.1修改方法14.2修改方法25.编译6.彩蛋1.前言 有时候,我们的设置里面显示的信息,需要根据不同的情况显示不同的信息,例如,动态的显示或者隐藏 “无障碍” 这一项。 2.问题分析 像这个问题…

【经验帖】脏读和不可重复读的概念及影响

脏读和不可重复读是数据库事务并发执行时可能出现的两种数据一致性问题,它们对数据的一致性和完整性有着显著的影响。以下是脏读和不可重复读的具体影响: 脏读的影响 脏读发生在一个事务读取了另一个事务未提交的数据时。由于这些数据尚未被提交&#x…

python机器人编程——用手机web远程视频监控并控制小车驾驶(上篇vrep仿真)

目录 一、前言二、技术架构三、设备端实现四、服务控制端实现(1)摄像头服务模块(2)web服务器 五、web端实现(1)视频显示(2)驾驶盘的实现(3)心跳 六、总结七、…

大厂里为什么都在运用精益六西格玛管理?

近年来,大型企业(简称“大厂”)为了保持其市场领先地位和持续盈利能力,不断探索并引入先进的管理理念和方法。其中,精益六西格玛管理作为一种综合性的质量管理和流程优化工具,正被越来越多的企业所采用。本…

YOLOv8改进系列,YOLOv8 Neck结构引入BiFPN

摘要 模型效率在计算机视觉中变得越来越重要。本文系统地研究了神经网络架构设计选择用于目标检测,并提出了几项关键优化以提高效率。首先,提出了一种加权双向特征金字塔网络(BiFPN),它允许轻松快速的多尺度特征融合;其次,我们提出了一种复合缩放方法,该方法同时均匀地…

操作系统 --- 进程的同步和互斥问题以及进程互斥实现方法(软件、硬件实现)、同步机制遵循的四条准则

目录 一、进程同步 二、进程互斥 三、进程互斥的实现方法 3.1 软件实现 3.1.1 单标志法(存在的主要问题:违背“空闲让进”原则) 3.1.1.1 基本思想 3.1.1.2 单标志法的基本概念及执行流程 3.1.1.3 特点 3.1.2 双标志先检查法&#…

【Linux 】开发利器:深度探索 Vim 编辑器的无限可能

🔥 个人主页:大耳朵土土垚 🔥 所属专栏:Linux系统编程 这里将会不定期更新有关Linux的内容,欢迎大家点赞,收藏,评论🥳🥳🎉🎉🎉 文章目…

NC65使用UAP客开主子单据以及NC65常见的开发技术(超级详细附带图和源码)

NC65使用UAP客开主子单据以及NC65单据相关的开发技术(超级详细附带图和源码) 本篇主要讲述使用UAP开发工具客开主子单据包括:创建项目发布、创建发布元数据、生成主子单据、以及相关报错和打补丁。在单据相关技术主要包括:增加空按…

物流管理系统小程序的设计

管理员账户功能包括:系统首页,个人中心,用户管理,员工管理,部门管理,物品分类管理,物流公司管理,物流信息管理,配送信息管理 微信端账号功能包括:系统首页&a…

半导体器件制造5G智能工厂数字孪生物联平台,推进制造业数字化转型

半导体器件制造行业作为高科技领域的核心驱动力,正积极探索和实践以5G智能工厂数字孪生平台为核心的新型制造模式。这一创新不仅极大地提升了生产效率与质量,更为制造业的未来发展绘制了一幅智能化、网络化的宏伟蓝图。 在半导体器件制造5G智能工厂中&a…

python 山峦图

效果: 代码: import matplotlib.pyplot as plt import numpy as npdef mountain_plot(data_dict, colorsNone):if colors is None:colors get_colors_from_map(len(data_dict), "Spectral")x list(data_dict.keys())# Y轴位置y_positions …

某锂电厂房项目密集母线槽上红外测温的案例分享

1 行业背景 在政策和技术推动下,锂电产业迅速发展,产业规模持续扩大,同时对供电设备的可靠性要求提高。密集型母线槽作为厂房重要电力传输设备若出现触头温升过高,可能导致停电甚至烧毁等故障,会对生产线安全和企业效…

Java反序列化漏洞分析

相关学习资料# http://www.freebuf.com/vuls/90840.htmlhttps://security.tencent.com/index.php/blog/msg/97http://www.tuicool.com/articles/ZvMbInehttp://www.freebuf.com/vuls/86566.htmlhttp://sec.chinabyte.com/435/13618435.shtmlhttp://www.myhack58.com/Article/ht…

【Qt笔记】QTabWidget控件详解

目录 引言 一、基本功能 二、核心属性 2.1 标签页管理 2.2 标签位置 2.3 标签形状 2.4 标签可关闭性 2.5 标签可移动性 三、信号与槽 四、高级功能 4.1 动态添加和删除标签页 4.2 自定义标签页的关闭按钮行为 4.3 标签页的上下文菜单 五、样式设置 六、应用示例…

git使用“保姆级”教程1——简介及配置项设置

一、git介绍 Git是一个开源的分布式版本控制系统,用于:敏捷高效地处理任何或小或大的项目。Git 是Linus Torvalds 为了帮助管理Linux内核开发而开发的一个开放源码的版本控制软件。版本控制: 版本控制(Revision control&#xff…

鸿蒙环境服务端签名直传文件到OSS

本文介绍如何在鸿蒙环境下将文件上传到OSS。 背景信息 鸿蒙环境是当下比较流行的操作环境,与服务端签名直传的原理类似,鸿蒙环境上传文件到OSS是利用OSS提供的PutObject接口来实现文件上传到OSS。关于PutObject的详细介绍,请参见PutObject。…

大厂常问的MySQL事务隔离到底怎么回答

什么是事务 事务就是一组原子性的SQL查询,或者说一个独立的工作单元。事务内的语句,要么全部执行成功,要么全部执行失败。 关于事务银行系统的应用是解释事务必要性的一个经典例子。 假设一个银行的数据库有两张表:支票表&#x…

OpenAI o1大模型:提示词工程已死

OpenAI 最近发布了最新大模型 o1,通过强化学习训练来执行复杂的推理任务,o1 在多项基准测试中展现了博士级别的推理能力,甚至在某些情况下可以与人类专家相媲美。 当你使用 o1 的时候,会发现文档中多了一项提示词建议。 翻译一下&…

OBB-最小外接矩形包围框-原理-代码实现

前言 定义:OBB是相对于物体方向对齐的包围盒,不再局限于坐标轴对齐,因此包围点云时更加紧密。优点:能够更好地贴合物体形状,减少空白区域。缺点:计算较为复杂,需要计算物体的主方向&#xff0c…