基于Verilog HDL的FPGA设计基础

news2024/9/22 16:21:33

第一章 Verilog数字集成电路设计方法概述

HDL(Hardware Description Language)----硬件描述语言

EDA(Electronic Design Automation)----电子设计自动化

VLSI(Very Large Scale Integrated)----超大规模集成电路

ASIC(Application Specific Integrated Circuit)----专用集成电路

FPGA(Field Programmable Gate Array)----现场可编程门阵列

CPLD(Complex Programmable Logic Device)----复杂可编程逻辑器件

例1:用Verilog HDL描述4位和32位的与逻辑

4位总线与逻辑

module aand4(a,b,c);
    input [3:0]a,b;
    output [3:0]c;
    reg [3:0] c;
    always @(a or b)
    c=a&b;
enmodule

32位总线与逻辑

module aand4(a,b,c);
    input [31:0]a,b;
    output [31:0]c;
    reg [31:0] c;
    always @(a or b)
    c=a&b;
enmodule

例2:用Verilog HDL描述4位和8位移位寄存器

module shiftregist4(clk,din,Reset,qout);
    input clk,Reset,din;
    output [3:0] qoutl
    reg [3:0] qout;
    always @(posedge clk or posedge Reset)
        begin
        if(Reset) qout<=4'b0000;
        else
            begin
                    qout[3]<=qout[2];
                    qout[2]<=qout[1];
                    qout[1]<=qout[0];
                    qout[0]<=din;
            end
        end
enmodule
module shiftregist4(clk,din,Reset,qout);
    input clk,Reset,din;
    output [7:0] qoutl
    reg [7:0] qout;
    always @(posedge clk or posedge Reset)
        begin
        if(Reset) qout<=4'b0000;
        else
            begin
                    qout[7:1]<=qout[6:0];
                    qout[0]<=din;
            end
        end
enmodule

----高效、灵活性强、可拓展

例:二选一数据选择器

module mux(a,b,sel,out);
    input[0:0] a,b;
    input[0:0] sel;
    output[0:0] out;
    reg [0:0] out;
    always @(a,b,sel)
    case(sel)
    2'b00:out=a;
    2'b11:out=b;
    default:out=0;
    endcase
enmodule

module mux_tb;
reg a,b;
reg [1:0] sel;
wire out;
mux u1(a,b,sel,out);
initial
    begin
        sel=2'b00;
            a=0;
            b=0;
            #5 a=1;
            #5 a=0;
            #10 a=1;
            #10 a=0;
            #5 sel=2'b11l
            #5 b=1;
            #5 b=0;
            #5 b=1;
            #10 b=0;
            #5 sel=2'b10;
            a=1;
            b-1;
    end
enmodule

第二章 Verilog HDL基础知识

第三章 Verilog HDL程序设计语句和描述方法

第四章 Verilog HDL数字逻辑电路设计方法

第五章 仿真验证与Testbench的编写

第六章 Verilog HDL高级程序设计举例

第七章 仿真测试工具和综合工具

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2122472.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

坚守官网,深挖没有错;积极转型也没错,就怕10年前经验用在现在

如今官网建设领域哀嚎一片&#xff0c;出现三种应对策略。 其一&#xff1a;坚守官网&#xff0c;深挖下去&#xff0c;做出高大上&#xff0c;精美炫酷和实效网站&#xff0c;路子很对。 其二&#xff1a;积极寻求转型&#xff0c;客户需要啥就做啥&#xff0c;比如搞小程序…

Vue3可编辑表格插件

插件亮点&#xff1a; 通过可自定义单元格内容。可控的状态控制&#xff0c;例如只读、禁止编辑行等配置。可控的状态交互&#xff0c;例如框选单元格、框选行等配置。可控的推拽顺序&#xff0c;例如拖拽行、推拽列。方便的单元格数据验证配置。方便的快捷右键菜单&#xff0c…

std::map 合并

目录 示例 效果 采用insert函数实现两个map的合并。使用方法&#xff1a; map1.insert(map2) 假如map2的某些键也出现在map1里面&#xff0c;则map1中重复的键值对不变&#xff0c;map2中重复的键值对无法覆盖map1。 示例 #include <map> #include <iostream>…

USB转百兆网卡芯片CH397在多平台下使用说明

简介 CH397是一款USB2.0高速转以太网芯片&#xff0c;支持10M/100M网络的以太网MACPHY&#xff0c;内置青稞RISC-V 处理器、符合IEEE802.3 和IEEE802.3az-2010 协议规范。支持Windows/ Linux /macOS /iOS /Android 等多平台各系统&#xff0c;适配各类台式电脑、笔记本电脑、平…

【Nacos】报错之服务实例类型不允许改变

在使用Nacos配置服务的实例类型的时候&#xff0c;对服务的实例类型进行修改。 之前的非临时实例&#xff0c;修改为临时实例后&#xff0c;报错&#xff1a; com.alibaba.nacos.api.exception.NacosException: errCode: 400, errMsg: Current service DEFAULT_GROUPproduct-…

洛谷 P1039 [NOIP2003 提高组] 侦探推理

题目来源于&#xff1a;洛谷 原题点这里 题目本质&#xff1a;模拟&#xff0c;字符串&#xff0c;差分&#xff0c;模拟 题目思路&#xff1a; 记录每一句话是谁说的以及这句话的内容&#xff0c;可以用map存人名对应的下标&#xff0c;我们枚举每一个人i&#xff0c;假设i…

数据结构与算法1: 链表

基础知识 链表可以被想象为一系列的节点&#xff0c;每个节点至少有一个指针指向下一个节点&#xff0c;在最后一个节点&#xff0c;用null pointer来表示链表的结束。 链表的创建速度通常很快&#xff0c;在表头和表尾的插入也很快&#xff08;O(1)&#xff09;&#xff0c;…

2024年T电梯修理证模拟考试题库及T电梯修理理论考试试题

题库来源&#xff1a;安全生产模拟考试一点通公众号小程序 2024年T电梯修理证模拟考试题库及T电梯修理理论考试试题是由安全生产模拟考试一点通提供&#xff0c;T电梯修理证模拟考试题库是根据T电梯修理最新版教材&#xff0c;T电梯修理大纲整理而成&#xff08;含2024年T电梯…

餐饮酒店旅游服务网站整站模板打包下载

餐饮酒店旅游服务网站整站模板打包下载 餐饮酒店旅游服务网站整站模板打包下载 餐饮酒店旅游服务网站整站模板打包下载 餐饮酒店旅游服务网站整站模板打包下载 餐饮酒店旅游服务网站整站模板打包下载 响应式酒店旅租网站模板_餐饮酒店旅游服务类网站整站打包下载.zip 农家…

怎么能实现VIN码充电吗?针对一个单一的VIN码,设置不同的服务费这种计费模式

为了实现VIN码充电并针对单一VIN码设置不同的服务费这种计费模式&#xff0c;需深入了解VIN码充电的实现过程及技术细节。VIN码充电是一种基于车辆识别号码&#xff08;VIN&#xff09;进行充电和计费的方法&#xff0c;适用于新能源汽车的充电桩。具体分析如下&#xff1a; V…

828华为云征文|华为云Flexus X实例docker部署mediacms,功能齐全的现代化开源视频和媒体CMS

828华为云征文&#xff5c;华为云Flexus X实例docker部署mediacms&#xff0c;功能齐全的现代化开源视频和媒体CMS 华为云最近正在举办828 B2B企业节&#xff0c;Flexus X实例的促销力度非常大&#xff0c;特别适合那些对算力性能有高要求的小伙伴。如果你有自建MySQL、Redis、…

时序预测|基于小龙虾优化高斯过程GPR数据回归预测Matlab程序COA-GPR 多特征输入单输出 附赠基础GPR

时序预测|基于小龙虾优化高斯过程GPR数据回归预测Matlab程序COA-GPR 多特征输入单输出 附赠基础GPR 文章目录 一、基本原理二、实验结果三、核心代码四、代码获取五、总结 时序预测|基于小龙虾优化高斯过程GPR数据回归预测Matlab程序COA-GPR 多特征输入单输出 附赠基础GPR 一、…

CMU 10423 Generative AI:lec4(必读:Sliding Window Attention,RoPE, GQA)

lec4有4篇必读文献分别是&#xff1a;Sliding Window Attention&#xff0c;RoPE&#xff0c;GQA&#xff0c;以及花书的CNN第9.1~9.3节。前3个详细研究了一下&#xff0c;如下&#xff1a; 文章目录 1 Sliding Window Attention&#xff08;2020&#xff09;1.1 概览1.2 个人总…

基于Springboot+vue实现的雪具销售系统

作者主页&#xff1a;Java码库 主营内容&#xff1a;SpringBoot、Vue、SSM、HLMT、Jsp、PHP、Nodejs、Python、爬虫、数据可视化、小程序、安卓app等设计与开发。 收藏点赞不迷路 关注作者有好处 文末获取源码 项目描述 根据日常实际需要&#xff0c;一方面需要在系统中实现基…

基于ESP-IDF的ESP32开发记录——添加多个自己编写的组件

在第一篇的基础上&#xff0c;经过一段时间的学习&#xff0c;看其他人的视频。 ESPIDF的CMAKE其实还有这个特性&#xff1a; 主目录下的CMAKElists文件中&#xff0c;箭头的这句include其实就是帮我们包含了idf目录下的cmake文件&#xff0c;包含了有什么用呢&#xff1f; 其…

Java数组的定义及遍历

数组的声明 长度不能超过定义的长度。超过则会报错通过下标来访问 数组的遍历 最常用最简单的方法是增强for循环。

掌握IC电子元器件海外市场:独立站建设的关键作用

在IC电子元器件领域&#xff0c;由于产品具有较高的特殊性和技术含量&#xff0c;传统的线下交易模式已难以满足市场需求。因此&#xff0c;建立一个专业的IC电子元器件独立站成为了企业进入这一市场的趋势。在IC电子元器件行业中&#xff0c;建设独立站不仅能提供更加个性化的…

Linux日志-日志小结

作者介绍&#xff1a;简历上没有一个精通的运维工程师。希望大家多多关注作者&#xff0c;下面的思维导图也是预计更新的内容和当前进度(不定时更新)。 Linux 系统中的日志是记录系统活动和事件的重要工具&#xff0c;它们可以帮助管理员监视系统状态、调查问题以及了解系统运行…

告别繁琐,固乔快递批量查询助手:让物流追踪变得简单

探索固乔科技&#xff0c;您会发现一个强大的工具宝库&#xff0c;其中尤为亮眼的是其快递查询助手软件。这款软件专为高效处理快递信息设计&#xff0c;集成了众多快递公司的接口&#xff0c;实现了一站式查询体验。用户只需简单下载并安装&#xff0c;即可享受其带来的便捷与…

小红书商品详情API:引领电商新时代,精细把控商品呈现革新

一、功能、特点与优势 功能&#xff1a; 小红书商品详情API是一种为开发者提供获取小红书平台上商品详细信息的接口。通过该接口&#xff0c;开发者可以实时获取商品的名称、价格、描述、图片、规格、评论等多维度信息&#xff0c;满足商品展示、信息更新、数据分析等多种需求…