心电调试笔记

news2024/9/20 20:31:49

原理图设计

在这里插入图片描述

注意事项

  • 引脚连接检查:确保每个元器件与芯片引脚连接正确是基础,错误的连接可能导致系统无法正常工作。
  • 未连接引脚标识:对于未使用的引脚,虽然不连接但应标识为非使用状态,以免混淆或引起误操作。
  • 测试点设置:预留测试点可以极大地方便后续的调试和故障排查。选择合适的位置放置测试点,确保不会干扰正常工作。
  • 布局优化:布线时应尽量减少交叉线,保持布线简洁,避免信号干扰和延迟。尽量使信号线尽可能短且直。
  • 去耦电容布局:去耦电容应靠近电源引脚,以减少噪声对电路性能的影响。大功率电路需额外考虑电源去耦。

遇到的问题

  1. 没有用到的引脚忘记标×
  2. 忘记画测试点
  3. 电容与相关的芯片引脚连接错误

PCB设计

在这里插入图片描述

注意事项

  • 布局规划:将电路板分成模块化布局,有助于提高设计效率。每个模块应尽量包含完整的功能或器件组,以简化布线和调试。
  • 布线策略:在布线时从关键器件和信号开始,逐步向外围扩展。优先处理高频信号和高功率电流路径。
  • 走线拐角:尽量避免直角拐角,使用45度角或弯曲走线可以减少信号反射和干扰,改善信号完整性。

遇到的问题

  • 铺铜时有一部分没有铺到,后面打个过孔就解决了
  • 器件之间排列过于紧密,布线时没有位置,导致需要慢慢调整各位置

焊接与调试

过程

  1. 导联检测:
    • 将心电板和导联线连接好后,使用万用表测量LL, LA, RA等引脚的电阻。检查这些引脚的连接是否符合原理图上的设计。
    • 比对实际测量的电阻值与原理图上的值,确保没有错误的连接或短路。
      在这里插入图片描述
  2. 电源测试:
    • 连接电源后,观察LED指示灯是否亮起。使用万用表测试5V和3.3V电源电压,确保电压稳定在预期值。
    • 检查VERF、TP1、TP2的电压值是否在1.65V附近,确认电源电压正常。
  3. 示波器调试:
    • 连接导联线和模拟机,确保探头接地良好。使用示波器观察心电信号波形,调整波形幅度和频率以便清晰地看到心电图。
    • 调整示波器的设置(如幅度、时间基准)以获得最佳的信号显示效果。
  4. 信号监测:
    • 打开模拟机并选择心电信号源(如60bpm),在示波器上监测到对应的心电信号波形。
    • 确保信号稳定,并根据需要调整示波器的设置以获得清晰的波形。

注意事项

  1. 先接地后接导体,如先接地后接 5V,先接地后接 3V3(安全考虑),为了确保在接电源时系统不会因为静电或瞬间电流冲击而受损
  2. 5V 和 3V3 地线要接到和自己同一排针的 GND 上,以避免地电位差引起的测量误差。E_zero 不用。
  3. 电流切记不能太高,这里默认设置的都为 1A。接上 5V, 3V3, E_zero 的直流稳压电源,观察到 LED 亮起。调节至电压档(档位值要超过 5V),黑表笔接地,红表笔测试 5V,3V3 数值观察是否正确。然后再测试 VERF,TP1, TP2 的数值是否在 1.65V 左右,测试的数值都对得上则可以进行下一步

遇到的问题

  • 一个芯片的引脚虚焊了
  • 电阻焊错了

心电嵌入式函数具体实现

在这里插入图片描述

  1. 心电任务函数:
    • 任务函数每2ms调用一次,确保心电数据的采集频率符合系统要求。
    • 初步检查导联状态,如果发现导联脱落或连接不良,系统应发送警告信号或提示。
  2. ADC转换:
    • 触发ADC转换后,将采样数据存入缓冲区。确保转换过程中没有数据丢失。
    • 将采集到的数据通过串口传输,方便实时监控和验证。

获取心电AD转换值

在这里插入图片描述

  1. 触发采样:

    • 使用adc_software_trigger_enable函数手动触发ADC采样,以确保数据准确。
  2. 读取数据:

    • 通过adc_regular_data_read函数获取ADC的采样值,并对数据进行处理和存储。

检查导联状态

在这里插入图片描述
读取引脚电平:

  • 使用GPIO_ReadInputDataBit函数读取每个引脚的电平状态,检查导联是否正常连接。
  • 高电平表示导联脱落,低电平表示连接正常。

key1摁下触发

在这里插入图片描述

  1. 清零缓冲区:
    • 清除存储心电数据的缓冲区,为新的测量做准备。
  2. 开始测量:
    • 设置心电测量标志位,通知系统开始采集数据。
    • 发送开始测量的提示信号或消息,通知用户。

key2摁下触发

在这里插入图片描述
停止测量:

  • 清除心电测量标志位,表示测量已结束。
  • 发送结束测量的提示信号或消息,通知用户停止操作。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2100795.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【 html+css 绚丽Loading 】 000034 三元聚散盘

前言:哈喽,大家好,今天给大家分享htmlcss 绚丽Loading!并提供具体代码帮助大家深入理解,彻底掌握!创作不易,如果能帮助到大家或者给大家一些灵感和启发,欢迎收藏关注哦 &#x1f495…

解锁MySQL数据库基础命令:从入门到精通的实战指南

作者简介:我是团团儿,是一名专注于云计算领域的专业创作者,感谢大家的关注 座右铭: 云端筑梦,数据为翼,探索无限可能,引领云计算新纪元 个人主页:团儿.-CSDN博客 前言:…

PLUTO: 推动基于模仿学习的自动驾驶规划的极限

PLUTO: Pushing the Limit of Imitation Learning-based Planning for Autonomous Driving PLUTO: 推动基于模仿学习的自动驾驶规划的极限 https://arxiv.org/abs/2404.14327 Abstract We present PLUTO, a powerful framework that Pushes the Limit of imitation learn…

【扇贝编程】python爬虫——爬取动态网页笔记

在示例网站上登陆后点开第一条请求(wp-login.php)。右边的 Headers 里可以看到请求地址(Request URL)为 https://wpblog.x0y1.com/wp-login.php,请求方式(Request Method)是 POST,状…

戴尔科技领涨市场,AI服务器需求成关键驱动力

戴尔科技强劲上涨,AI服务器需求激增 戴尔科技公司日内股价飙升4.2%,达到115.42美元,接近一个月高点。这一强劲表现主要得益于该公司上调了年度盈利预期,原因是对Nvidia驱动的人工智能优化服务器的需求显著增加。戴尔将2025财年的年…

RTC(实时时钟)/BKP(备份寄存器

1 unix时间戳 2 时间戳转换函数 3 BKP(备份寄存器) 1 TAMPER引脚侵入事件 2 RTC校准时间 3 RST闹钟脉冲和秒脉冲 可以输出出来为其他信号提供 4 校准时钟,寄存器加输出RTC校准时钟 5 总结:3个功能只能同时使用一个 4 BKP基本…

windows屏幕录制:探索四款顶级录屏工具!

在数字化时代,我们经常需要记录屏幕上的操作,无论是为了教学、演示还是娱乐。Windows操作系统提供了多种录屏工具,这些工具各有特色,能够满足不同用户的需求。本文将为您介绍几款备受好评的录屏软件! 福昕录屏大师 直…

【RabbitMQ】核心概念

界⾯上的导航栏共分6部分, 这6部分分别是什么意思呢, 我们先看看RabbitMQ的工作流程 1. Producer和Consumer Producer:生产者,是RabbitMQ Server的客户端,向RabbitMQ发送消息 Consumer: 消费者,也是RabbitMQ Server的客户端,从RabbitMQ接收消息 Broker:其实就是RabbitMQSer…

ABAP Dialog Radio Button

额.妈了个巴子,整了一天,才发现,原来Dialog 的Radio Button 是要右键去设置组的 我就说为什么不行咧 误区:我以为是属性那里的组去设置的

shell脚本编写之四则运算

shell程序默认支持整数加、减、乘、除、求余的运算。 1、使用$(())方式进行运算 例如计算23,使用$((23))。 我在脚本中加入这几种运算进行测试: 执行脚本后结果如下: 2、使用$[]方式进行运算 例如计算23,使用$[23]&#xff0c…

我在高职教STM32——ADC电压采集与光敏电阻(5)

大家好,我是老耿,高职青椒一枚,一直从事单片机、嵌入式、物联网等课程的教学。对于高职的学生层次,同行应该都懂的,老师在课堂上教学几乎是没什么成就感的。正是如此,才有了借助CSDN头条平台寻求认同感和成就感的想法。在这里,我准备陆续把自己花了很多心思设计的教学课…

flutter文本输入框使用

在Flutter中,实现输入框一般使用TextField,通过设置它的属性给输入框和内部文字设置不同的样式。 Flutter 输入框实现简单例子 import package:flutter/material.dart;class MyEditPage extends StatelessWidget {const MyEditPage({super.key});overr…

算法训练第30天|46. 携带研究材料(01背包问题)|416. 分割等和子集

先讲一下01背包问题: 有n件物品和一个最多能背重量为w 的背包。第i件物品的重量是weight[i],得到的价值是value[i] 。每件物品只能用一次,求解将哪些物品装入背包里物品价值总和最大。 这道题目如果使用暴力解法,即回溯法来做的…

Transformer简明笔记:文本翻译

Bert和gpt都是基于transformer的,在此之前流行的是rnn,复杂度有限且效率不高,容易受到文本长度的限制。 项目地址:https://github.com/lansinuote/Transformer_Example b站视频:https://www.bilibili.com/video/BV19Y411b7qx?p9&…

Java项目: 基于SpringBoot+mysql旅游网站管理系统分前后台(含源码+数据库+开题报告+PPT+毕业论文)

一、项目简介 本项目是一套基于SpringBootmysql旅游网站管理系统分前后台 包含:项目源码、数据库脚本等,该项目附带全部源码可作为毕设使用。 项目都经过严格调试,eclipse或者idea 确保可以运行! 该系统功能完善、界面美观、操作…

【java入门】JDK的下载安装与配置,最新最详细教程!

🚀 个人简介:某大型国企资深软件开发工程师,信息系统项目管理师、CSDN优质创作者、阿里云专家博主、华为云云享专家,分享前端后端相关技术与工作常见问题~ 💟 作 者:码喽的自我修养🥰 &#…

创意微型学生机床工具——金属车床

劳技课程是实施劳动与技术教育的主要途径,具有基础性、实践性、综合性和创新性等特点。它不仅是国家课程、地方课程和校本课程的统一体,还是基础教育课程体系中的重要一环。 劳技课程强调学生的动手操作能力和实践体验,通过具体的技术活动和劳…

IP的SSL证书的申请及配置方式

随着互联网技术的发展,数据安全变得越来越重要。HTTPS协议因其提供的加密传输能力而成为现代Web通信的标准。实现HTTPS的关键组件之一就是SSL/TLS证书,它为网站提供了一层保护,确保客户端与服务器之间的数据传输是加密的。本文将指导您如何为…

NSSCTF刷题

[NISACTF 2022]checkin 1.在选中nisactf的时候,注释里面的内容也被标记了 2.复制到010editer中发现存在不可见的字符 3.我们选择实际的参名和字符串,并转为url编码格式 4.得到最后的payload,传参得到flag ahahahahajitanglailo&%E2%80%…

当敏捷开发遇上AI

每周跟踪AI热点新闻动向和震撼发展 想要探索生成式人工智能的前沿进展吗?订阅我们的简报,深入解析最新的技术突破、实际应用案例和未来的趋势。与全球数同行一同,从行业内部的深度分析和实用指南中受益。不要错过这个机会,成为AI领…