MIT6.S081最详解析与归纳——lab11:network driver

news2024/9/21 22:35:06

Lab11主题:network driver

    • (一)xv6网络协议栈
    • (二)networking
      • (1)e1000_transmit
      • (2)e1000_recv
    • (三)完结感想

(一)xv6网络协议栈

xv6网络协议栈流程图
在这里插入图片描述

(二)networking

(1)e1000_transmit

hints中已经把步骤清晰地罗列出来了,照着写即可。
有以下几点需要额外注意:

  • 发送数据帧的过程可能存在并发关系,对于描述符环和缓存区这两个临界资源,需要加锁。
  • 描述符必要的cmd标志位有两个,E1000_TXD_CMD_EOP 和 E1000_TXD_CMD_RS,EOP表示数据包的结束,RS表示status字段有效,故二者都需要被设置。
int e1000_transmit(struct mbuf *m)
{
  //
  // Your code here.
  //
  // the mbuf contains an ethernet frame; program it into
  // the TX descriptor ring so that the e1000 sends it. Stash
  // a pointer so that it can be freed after sending.
  //

  uint32 rear;

  acquire(&e1000_lock);
  // 1.获取下一个需要发送的数据包在环中的索引
  rear = regs[E1000_TDT];
  // 2.检查数据块是否带有E1000_TXD_STAT_DD标志,若无则数据还未完成转发
  if ((tx_ring[rear].status & E1000_TXD_STAT_DD) == 0)
  {
    release(&e1000_lock);
    return -1;
  }
  // 3.释放已转发的数据块
  if (tx_mbufs[rear])
  {
    mbuffree(tx_mbufs[rear]);
  }
  // 4.设置描述符与缓存区字段
  tx_ring[rear].addr = (uint64)m->head;
  tx_ring[rear].length = m->len;
  tx_ring[rear].cmd = E1000_TXD_CMD_EOP | E1000_TXD_CMD_RS;
  tx_mbufs[rear] = m;
  // 5.修改环尾索引
  regs[E1000_TDT] = (rear + 1) % TX_RING_SIZE;

  release(&e1000_lock);

  return 0;
}

(2)e1000_recv

同样地根据hints逐步进行,有以下几点需要注意:

  • 接收数据帧的e1000_recv是由中断驱动的,处理完才会返回,因此不存在并发关系,不需要加锁
  • 由hints提示可知,此处尾指针指向的是已被软件处理的数据帧, 其下一个才为当前需要处理的数据帧,因此索引需要加1
  • 为了解决接收队列的缓存区溢出,超出上限的问题,采用了循环读取,每次尽量将队列读空。
static void
e1000_recv(void)
{
  //
  // Your code here.
  //
  // Check for packets that have arrived from the e1000
  // Create and deliver an mbuf for each packet (using net_rx()).
  //

  // 1.获取下一个需要接收的数据包在环中的索引
  uint32 rear = (regs[E1000_RDT] + 1) % RX_RING_SIZE;
  // 2.检查E1000_TXD_STAT_DD标志
  while ((rx_ring[rear].status & E1000_TXD_STAT_DD))
  {
    if (rx_ring[rear].length > MBUF_SIZE)
    {
      panic("E1000 length overflow");
    }
    // 3.更新缓冲块信息,递交数据包给网络栈解封装
    rx_mbufs[rear]->len = rx_ring[rear].length;
    net_rx(rx_mbufs[rear]);
    // 4.分配新的缓存区,更新描述符
    rx_mbufs[rear] = mbufalloc(0);
    rx_ring[rear].addr = (uint64)rx_mbufs[rear]->head;
    rx_ring[rear].status = 0;

    rear = (rear + 1) % RX_RING_SIZE;
  }
  // 5.修改环尾索引
  // 此处由于while循环末端让rear = rear - 1了,所以尾指针索引需要减1
  // 若没有该循环,则此处不需要修改rear,因为尾指针指向的是已被软件处理的数据帧
  regs[E1000_RDT] = (rear - 1) % RX_RING_SIZE;
}

(三)完结感想

本章博客写得非常水,主要是因为恰巧开学了(
但无论如何还是要发出来,为MIT6.S081这个系列收个尾。
也在此处,对整个系列做一个总结吧。

个人完成所有lab+xv6 book阅读+课程视频,耗时在两个半月左右。
对我来说最费心思的点莫过于xv6 book的阅读,个人的英语阅读水平不算太差,至少也裸考过了六级,但也许是第一次读相关专业英文教材的原因,阅读的过程若不借助翻译AI,会非常的吃力,直到整本书读完,也依然有这种感觉。每个单词都认识,每个语法结构都在高中学得滚瓜烂熟,但组合在一起总让人一脸懵逼。

而完成lab的过程,确实能感觉到自己的水平在一步步提升。从lab1的不知所云,lab2的手足无措,到遇上最难的lab3——pagetable,几乎每一步都是重重阻碍,要结合多家大佬的博客才能完全理解,抄一半写一半的完成代码。但到了后期,熟悉xv6各个部分的源码后,逐渐变得得心应手,即便是hard实验,也能独立地完成绝大部分(虽然总有一些意想不到的点需要参考大佬们的思路)

观看课程视频时,总能被mit大佬们的思路惊艳,确实能从中感觉到那种鸿沟,但是并无关系,走好自己的路,与自己比较即可。
个人理解知识点的顺序是视频->书籍,所以观看视频时,大多都抱着不求甚解的态度,等到阅读书籍时再结合源码理解,有时甚至还要回去看看当时学操作系统的王道教材。

略有遗憾的是,自己并没有在整个流程中,提升多少debug的水平。gdb用得含含糊糊,指令没懂多少,基本只会敲一个b panic和where。所以到头来,还是printf用得多(永远的好朋友)

至此,两个半月的OS学习也算圆满结束了,很高兴能与大家一起进步。
接下来或许不再打算做MIT相关的lab,个人没有读研的打算,升入大三,面临即将而来的秋招,确实再没有这个精力。
所以接下来的关注点,会聚焦在其他项目和面试上啦
目前选的是C++这条路线,还没有规划好具体方向,但无论如何,计算机基础打好是校招最重要的。

很荣幸我的博客能为大家提供一小点帮助,MIT6.S081系列至此结束,各位,我们有缘再见!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2100464.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

股指期货交割手续费怎么算?

股指期货交割手续费是投资者在股指期货合约到期进行交割时必须支付的费用,主要用于覆盖交易所和期货公司的运营成本。其计算方式与开仓、平仓手续费相似,但标准有所不同。#股指期货# 要熟悉股指期货的保证金和手续费计算,可以遵循以下公式&a…

浏览器百科:网页存储篇-Cookie应用实例(三)

1.引言 在前面的章节中,我们详细介绍了 Cookie 的基本概念、属性以及如何在 Chrome 浏览器中管理和调试 Cookie。理解这些理论知识之后,下一步是将其应用于实际开发中。在本篇文章中,我们将通过具体的代码示例,演示如何在网页中设…

并发集合:ConcurrentHashMap解析

1、ConcurrentHashMap 介绍 1.1、ConcurrentHashMap 概述 ConcurrentHashMap 是线程安全的HashMap,但最早的线程安全的HashMap 是 HashTable ,HashTable 现在已经弃用,因为它是使用synchronized 来保证线程安全,性能比较低&#…

无人机地理测绘技术详解

无人机地理测绘技术,作为现代测绘领域的一项重要革新,融合了无人机技术、遥感技术、地理信息系统(GIS)及全球定位系统(GPS)等多学科技术。该技术通过无人机搭载的高精度传感器,如相机、激光雷达…

Midjourney 图生图,真人二次元保持一致性,场景多元可选择

Midjourney 图生图,真人二次元保持一致性,场景多元可选择 Midjourney 拥有强大的图生图的功能,下面我们就来看一下,如何在我们的AceDataCloud网站上实现将照片切换成任意的二次元场景,同时保持人物的一致性。 注册链…

Azure Data Factory 多选选项集不受支持

在用ADF往外部推数据时,会碰到CRM的一种数据类型,多选下拉框,如下图中的 如果我们把多选字段输入源字段中,会得到如下的提示 查询官方文档,则有如下的说法 所以把值往外推就需要变通下,例如使用一个文本字段…

【一起学Rust | 框架篇 | Tauri2.0框架】command拆分模块

文章目录 前言1. 规划目录结构2. 编写command模块3. 注册command4. 编写utils模块 前言 上期曾提及,tauri 的 command 拆分模块乃是一种更为合理的方式。倘若将所有内容皆写入src-tauri/src/main.rs之中,将会致使代码难以进行维护,并且会显得…

CAN总线8路开关量DI输入8路带隔离集电极开路输出模块,数字量输入输出联动——DAM-C3022

#阿尔泰科技 简介: DAM-C3022为8路带隔离数字量输入,8路带隔离集电极开路输出,数字量输入与数字量输出实现联动,通道一一对应;CAN通讯接口,CAN2.0A标准帧格式,支持CAN-OPEN协议。 指标参数&am…

DOS介绍及最常用的20个dos操作命令

🚀 个人简介:某大型国企资深软件开发工程师,信息系统项目管理师、CSDN优质创作者、阿里云专家博主,华为云云享专家,分享前端后端相关技术与工作常见问题~ 💟 作 者:码喽的自我修养&#x1f9…

IObit Uninstaller Pro v13.6.0.5 绿色便携免安装版本 下载

功能非常强大好用的软件卸载清理工具 下载地址(资源制作整理不易,下载使用需付费,不能接受请勿浪费时间下载) 链接:https://pan.baidu.com/s/1I7lbixooii9ezSrp3X-y-w?pwd716l 提取码:716l

深度学习中的ONNX模型部署(打包为exe独立运行)详细教程

摘要:在本教程中,详细介绍了如何将ONNX模型部署为独立的可执行文件。从环境准备开始,介绍了ONNX Runtime及其GPU版本的安装,确定CUDA和cuDNN版本的兼容性。给出了使用ONNX Runtime加载和推理模型,处理输入和输出数据的…

中国电子学会Python3级等级考试202403客观题解析3

18、 在 Python 中 print(tuple(range(0,8,3)))语句,执行的结果是?( ) A (0,3,6) B (0,2,4,6) C (0,2,4,6) D (0,4) 答案:A range(0,8,3)生成的数据包括0,3,6;tuple()函数将其…

HarmonyOS开发实战( Beta5版)延迟加载lazy-import实践使用指导

随着应用功能持续增加,应用规模不断扩大,依赖的模块文件逐渐变多,应用冷启动加载模块的时间也越来越长。而在实际冷启动过程中执行了很多应用整体依赖但当前未使用的文件,此时可以通过延迟加载 lazy-import 的方法延缓对这些冗余文…

独立产品灵感周刊 DecoHack #066 – 下周苹果发布会要来了

本周刊记录有趣好玩的独立产品/设计/开发相关内容,每周一发布,往期内容同样精彩,感兴趣的伙伴可以到 官网查看更多内容。可以 邮件订阅或 RSS订阅本周刊。欢迎通过 Twitter 私信推荐或投稿。 💻 产品推荐 1. Apple Bento Slides…

基于C++实现(MFC界面)家谱管理系统

一、题目:家谱管理系统 二、内容: 2.1 概述 2.1.1 选题原因 做此题的原因是因为可以比较方便的记录家族历代成员的情况与关系,能很好的保存家族每一代的信息,而不用人工纸质的方式来存取家谱,更便于人们保存和使用…

青蓝智慧科技:京津冀氢能与绿色低碳创新应用场景发布

8月29日,北京成功举办了京津冀(唐山)氢能与绿色低碳创新应用场景的发布及供需对接活动。 在此活动中,唐山市科技局推出了涉及氢能与绿色低碳的创新应用方案,这些方案旨在抓住京津冀氢燃料电池汽车示范城市群、碳达峰试…

java后端开发-Mybatis连接数据库步骤

🤹‍♀️潜意识起点:个人主页 🎙座右铭:得之坦然,失之淡然。 💎擅长领域:前端 是的,我需要您的: 🧡点赞❤️关注💙收藏💛 是我持…

软通动力子公司鸿湖万联重磅发布SwanLinkOS 5,擘画开源鸿蒙AI PC新篇章

在刚刚落下帷幕的首届H•I AI 探索峰会上,软通动力再次于鸿蒙生态领域实现突破。此次活动中,软通动力高级副总裁、鸿湖万联总经理秦张波发布SwanLinkOS 5(天鸿操作系统),并联合软通计算(同方计算机&#xf…

Qt 样式表、选择器、盒子模型

1、两种样式表的写法 方式一(普通写法): this->setStyleSheet("QPushButton""{""background:yellow;""font:bold 14px;""color: red;""}""QPushButton:hover"&quo…

【Day07】

目录 MySQL-DQL- 基本查询 MySQL-DQL- 条件查询 MySQL-DQL- 聚合函数 MySQL-DQL- 分组查询 MySQL-DQL- 排序查询 MySQL-DQL- 分页查询 MySQL-DQL- 案例 MySQL-多表设计-一对多 MySQL-多表设计-一对多-外键约束 MySQL-多表设计-一对一&多对多 MySQL-多表设计-案例…