昂科烧录器支持MindMotion灵动微电子的微控制器MM32F5333D7P

news2024/9/23 19:26:05

芯片烧录行业领导者-昂科技术近日发布最新的烧录软件更新及新增支持的芯片型号列表,其中MindMotion灵动微电子的32位微控制器MM32F5333D7P已经被昂科的通用烧录平台AP8000所支持。

MM32F5333D7P微控制器搭载了由安谋科技授权的Armv8-M架构“星辰”STAR-MC1处理器,最高工作频率可达180MHz。内置了128KB Flash,32KB SRAM,并集成了丰富的I/O端口和外设模块,包括ADC,DAC,模拟比较器,高级定时器,通用定时器,基础定时器和低功耗定时器,还包含通信接口如I2C,I3C从机,SPI或I2S,UART,USART,低功耗UART,集成了内部PHY的USB 2.0全速Device/Host控制器,以及FlexCAN-FD接口。

• 180MHz“星辰” STAR-MC1处理器,内置单精度浮点运算单元FPU,支持DSP扩展

• 128KB Flash,32KB SRAM,集成丰富的I/O端口和外设模块

• 4个UART,1个USART,1个LPUART

• 1个集成内部PHY的USB 2.0

• 1个FlexCAN-FD接口

• 2个I2C,1个I3C从机,3个SPI或I2S

• 2个3MSPS 12位的ADC,1个12位的DAC

• 2个16位 Adv. Timer, 6个GP Timer,1个LP Timer

• 工作电压:1.8V~5.5V

• 工作温度范围:-40℃~+105℃

• 封装形式:LQFP64/48,QFN32

ae4059a0a25f7e241d69c6d470dbdd4c.jpeg

特征

• 内核与系统

– 工作频率可达180MHz

– 搭载32位安谋科技“星辰”STAR-MC1处理器,采用Armv8-M Mainline架构,内置单精度浮点运算单元(FPU),支持DSP扩展

– 4KB L1指令缓存(I-Cache)和4KB L1数据缓存(D-Cache)

– 三角函数加速单元(CORDIC),支持Sin,Cos和Atan操作

– 外设互联矩阵MindSwitch,支持定时器、GPIOs、EXTI、ADC、DAC 和比较器等模块信号间的直接连接或触发连接;内置4个可配置逻辑单元(CLU)可支持这些信号间的逻辑组合以实现更灵活的触发控制

• 存储器

– 多达128KB的Flash存储器,支持ECC

– 多达32KB的SRAM,支持ECC

– Boot loader支持片内Flash在线系统编程(ISP)

• 时钟、复位和电源管理

– 1.8V~5.5V供电

– 上电/断电复位(POR/PDR)、可编程电压监测器(PVD)

– 外部4~24MHz高速晶体振荡器

– 内置经出厂调校的8MHz高速RC振荡器

– 内置的PLL1可产生系统时钟,支持多种分频模式,为总线矩阵和外设提供时钟

– 内置的PLL2可产生最高100MHz的系统时钟,支持多种分频模式,为 USB,FlexCAN-FD和ADC提供时钟

– 内置40KHz低速振荡器

– 外部32.768KHz低速振荡器,支持旁路功能

• 低功耗

– 多种低功耗模式,包括:低功耗运行(Lower Power Run)、睡眠(Sleep)、低功耗睡眠(Low Power Sleep)、停机(Stop)、深度停机(Deep Stop)和待机模式(Standby)

– VBAT为RTC和后备寄存器(20 x 16位)供电

• 2个8通道DMA控制器,支持外设类型包括定时器、ADC、DAC、UART、LPUART、I2C、I3C、SPI 和 FlexCAN-FD

• 13个定时器

– 2个16位4通道高级定时器(TIM1 / TIM8),每个通道配有2个PWM 输出,其中包括1路互补输出,并支持硬件死区插入和故障检测后的紧急停止功能

– 2个16位4通道通用定时器(TIM3/TIM4)和2个32位4通道通用定时器(TIM2/TIM5),每个通道配有1个PWM输出,并支持输入捕捉和输出比较,可用于红外、霍尔传感器或者编码器信号的解码

– 2个16位基础定时器(TIM6/TIM7)可用作通用定时和产生中断

– 1个16位低功耗定时器(LPTIM)能否在除了Standby以外的所有低功耗模式下唤醒处理器

– 2个看门狗定时器,包括独立型的IWDG和窗口型的WWDG

– 1个24位Systick定时器

– 1个RTC实时时钟

• 多达54个快速I/O端口

– 所有I/O口可以映像到16个外部中断

– 所有端口均可输入输出电压不高于VDD的信号

– 多达32个5V容忍I/O端口

• 多达14个通信接口

– 4个UART接口

– 1个USART接口(支持SPI模式)

– 1个LPUART接口

– 2个I2C接口

– 1个I3C从机接口

– 3个SPI接口(支持I2S模式)

– 1个USB 2.0全速Device/Host控制器,内置PHY

– 1个FlexCAN-FD接口,兼容CAN 2.0B和CAN-FD协议

• 2个12位ADC,共支持16个外部输入通道和2个内部输入通道,其中每个ADC支持最快3MSPS转换率,硬件支持过采样到16位分辨率

– 转换范围:0~VDDA

– 支持采样时间和分辨率配置

– 支持硬件过采样,过采样次数从2到256次可选

– 片上温度传感器

– 片上电压传感器

– VBAT电压传感器

• 1个12位DAC

• 2个高速模拟比较器

• CRC计算单元

• 96位芯片唯一ID(UID)

• 调试模式

– 串行调试接口(SWD)

– JTAG接口

• 采用LQFP64,LQFP48,QFN32和QFN28封装


应用

• 工业控制

• 编码器

• PC附件

• 游戏附件

• 家电控制

• 地面清洁

• Mini LED

77e8d2d69ac469ce036573c40241b37a.jpeg


系统框图


昂科技术自主研发的AP8000万用烧录器包含主机,底板,适配座三大部分。


8407689567f44571a4b767d7a7bcebd1.jpeg


主机支持USB和NET连接,允许将多台编程器进行组网,达到同时控制多台编程器同时烧录的目的。内置芯片安全保障电路保证即使芯片放反或其他原因造成的短路可以被立即检测到并进行断电处理,以保障芯片和编程器安全。内嵌高速FPGA,极大地加速数据传输和处理。主机背部有SD卡槽,将PC软件制作得到的工程文件放到SD卡的根目录下并插入到该卡槽内,通过编程器上的按键可进行工程文件的选择,加载,执行烧录等命令,以达到脱离PC便可操作的目的,极大地降低了PC硬件配置成本,方便迅速地搭配工作环境。

AP8000通过底板加适配板的方式,让主机扩展性更强,目前已经支持了所有主流半导体厂家生产的器件,包括TI, ST, MicroChip, Atmel, Hynix , Macronix, Micron, Samsung ,Toshiba等。支持的器件类型有NAND,NOR,MCU,CPLD,FPGA,EMMC等,支持包括Intel Hex,Motorola S, Binary, POF等文件格式。


文章来源于:www.acroview.com

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2081390.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Redux的中间件原理分析

Redux的中间件原理分析 redux的中间件对于使用过redux的各位都不会感到陌生,通过应用上我们需要的所有要应用在redux流程上的中间件,我们可以加强dispatch的功能。最近抽了点时间把之前整理分析过的中间件有关的东西放在这里分享分享。本文只对中间件涉…

在线客服系统源码 完全开源可二开 带完整的安装代码包以及搭建部署教程

系统概述 在线客服系统是一种基于互联网技术的客户服务解决方案,通过即时通讯工具,如文本聊天、语音通话、视频交流等方式,实现企业与客户之间的实时互动。它打破了传统客服模式的时空限制,使客户能够在任何时间、任何地点获得快…

Java基础(4)- IDEA

目录 一、Module 1.创建module 2.关闭modue 3.导入module 4.src灰色 二、Package 1.创建package 2.删除package 3.package取名规范 三、类 1.创建类 2.快捷语法 3.HelloWorld 四、IDEA基本设置说明 1.字体 2.提示的快捷键 五、常用快捷键 一、Module 1.创建mod…

Python大数据之Hadoop学习——day05_hive基本操作

一.SQL,Hive和MapReduce的关系 用户在hive上编写sql语句,hive把sql语句转为mapreduce程序去执行 二.Hive的架构映射流程 三.MetaStore元数据管理三种模式 metastore服务配置有3种: 内嵌模式、本地模式、远程模式(推荐) 内嵌模式…

【一文读懂】基于Havenask向量检索+大模型,构建可靠的智能问答服务

Havenask是阿里巴巴智能引擎事业部自研的开源高性能搜索引擎,深度支持了包括淘宝、天猫、菜鸟、高德、饿了么在内的几乎整个阿里的搜索业务。本文针对性介绍了Havenask作为一款高性能的召回搜索引擎,应用在向量检索和LLM智能问答场景的解决方案和核心优势…

泰山派小手机---ubuntu 环境的搭建

问题: 本来我的 泰山派的 ubuntu 虚拟机环境已经搭建好了,但是由于一直到捣鼓 neovim ,把虚拟机 内核搞崩溃,所以从新安装一下 虚拟机。 过程: 1 首先是 安装虚拟机。 下载镜像。 https://mirrors.ustc.edu.cn/ubu…

密码强度验证——js基础积累

//密码强度等级 getPwdLevel:function (pwd,minLength8) {var level 0;if (pwd.length < minLength) return level;if (/\d/.test(pwd)) level; //数字if (/[a-z]/.test(pwd)) level; //小写if (/[A-Z]/.test(pwd)) level; //大写if (/\W/.test(pwd)) level; //特殊字符ret…

安卓好软-----手机端提取apk的小工具 方便简单 无需root权限

apk提取工具 工具小巧。可以提取手机上面当前安装的apk和系统应用apk。而且无需root权限即可正常使用。 效果非常不错。比其他工具提取系统app方便好使。 下载&#xff1a;https://download.csdn.net/download/mg668/89683199?spm1001.2014.3001.5503

副业赚钱新玩法:大模型也能月入过万?

在这个多元化的时代&#xff0c;副业已经成为了越来越多人的选择。无论是为了实现个人兴趣&#xff0c;还是为了增加收入来源&#xff0c;副业都为我们提供了无限可能。而随着人工智能技术的飞速发展&#xff0c;利用大模型来开展副业也成为了一种新兴的方式。今天&#xff0c;…

日常避坑指南:如何正确使用 aiohttp 上传文件,避免文件被提前关闭

在日常开发中,我们经常会遇到需要上传文件到服务器的场景。如果你选择使用 aiohttp 进行异步请求,上传文件的方式需要特别注意,否则可能会遇到一些令人头疼的问题——比如文件被提前关闭,导致上传失败。这篇文章将为你详细解析这个问题,并提供有效的解决方案,帮助你在开发…

Mysql基础练习题 181.找到收入比经理高的员工 (力扣)

181.找到收入比经理高的员工 建表插入数据&#xff1a; Create table If Not Exists Employee (id int, name varchar(255), salary int, managerId varchar(10)); Truncate table Employee insert into Employee (id, name, salary, managerId) values (1, Joe, 70000, 3); …

/lib64/libm.so.6: version `GLIBC_2.27‘ not found 如何解决?

安装GLIBC_2.27 wget http://ftp.gnu.org/gnu/glibc/glibc-2.27.tar.gz tar xf glibc-2.27.tar.gz cd glibc-2.27/ && mkdir build && cd build ../configure --prefix/usr --disable-profile --enable-add-ons --with-headers/usr/include --with-binutils…

聚观早报 | 智界R7亮相;问界新M7 Pro正式上市

聚观早报每日整理最值得关注的行业重点事件&#xff0c;帮助大家及时了解最新行业动态&#xff0c;每日读报&#xff0c;就读聚观365资讯简报。 整理丨Cutie 8月28日消息 智界R7亮相 问界新M7 Pro正式上市 《全职高手3》动画热播 苹果2024秋季发布会官宣 一加Ace 5 Pro设…

火语言RPA流程组件介绍--播放声音

&#x1f6a9;【组件功能】&#xff1a;播放系统声音或指定的Wav格式声音文件 配置预览 配置说明 来源 系统&#xff1a;播放Windows系统内置声音。 自定义Wav文件&#xff1a;播放本地路径下指定声音文件。 Wav文件 支持T或# 默认FLOW输入项 选择Wav声音文件的本地路径。…

35岁零基础转战AI领域:实现AI大模型开发者职业转型的可能性与路径

以下从3个方面帮大家分析&#xff1a; 35岁转行会不会太晚&#xff1f;零基础学习AI大模型开发能不能学会&#xff1f;AI大模型开发行业前景如何&#xff0c;学完后能不能找到好工作&#xff1f; 一、35岁转行会不会太晚&#xff1f; 35岁正处于人生的黄金时期&#xff0c;拥…

灵魂 20 问帮你彻底搞定Transformer

1.Transformer为何使用多头注意力机制&#xff1f;&#xff08;为什么不使用一个头&#xff09; 捕捉多种依赖关系&#xff1a; 多头注意力机制允许模型同时关注输入数据的不同部分和特征。每个“头”都能够学习输入序列的不同表示子空间&#xff0c;从而捕捉到不同类型的依赖关…

大模型落地难点之结构化输出

应用至上 2023年的世界人工智能大会&#xff08;WAIC&#xff09;是“百模大战”&#xff0c;今年WAIC的关键词是“应用至上”。纵观今年论坛热点话题&#xff0c;无论是具身智能还是AI Agent&#xff08;智能体&#xff09;&#xff0c;都指向以大模型为代表的AI技术在不同场…

一文道尽 RAG,为大模型提供你的私有知识

什么是 RAG&#xff1f;先说一个你可能不相信的事实&#xff1a;RAG 是2005年提出的古老技术&#xff08;论文在此 https://arxiv.org/pdf/2005.11401&#xff09;。然后我们先看一个学术定义&#xff1a;“检索增强生成&#xff08;Retrieval-augmented Generation&#xff09…

【MATLAB源码-第198期】基于simulink的三相光伏并网仿真模拟。

操作环境&#xff1a; MATLAB 2022a 1、算法描述 三相光伏并网系统是一种将太阳能转换为电能并将其馈入电网的系统。这个系统通常包括光伏阵列、逆变器&#xff08;包括其控制算法&#xff09;、滤波器、电网连接和监控系统。从上载的框图中可以看出&#xff0c;该系统的设计…

最佳外推发帖器推荐

最佳外推发帖器推荐 外推发帖神器&#xff0c;节省90%发帖时间&#xff01;#搜索留痕运营#百度留痕工具#灰色词排名代发#外推软件推广#B站专栏文章代发 推荐阅读&#xff1a; 哔哩哔哩b站文章专栏发布软件用真实信息注册域名的利弊https://www.bsw80.com/post/2314.html 今…