昂科烧录器支持MindMotion灵动微电子的32位微控制器MM32F5287L9P

news2024/10/1 3:21:24

芯片烧录行业领导者-昂科技术近日发布最新的烧录软件更新及新增支持的芯片型号列表,其中MindMotion灵动微电子的32位微控制器MM32F5287L9P已经被昂科的通用烧录平台AP8000所支持。

MM32F5287L9P搭载Arm®v8-M 架构“星辰”STAR-MC1处理器,最高工作频率可达 120MHz。内置了2.25MB Flash(包括256KB片上Flash和2MB QSPIFlash),192KB SRAM,并集成了丰富的I/O端口和外设模块,包括2个3MSPS 12位的ADC,2个12位的DAC,3个模拟比较器,2个16位高级定时器,2个16位和2个32位通用定时器,2个16位基础定时器和1个低功耗定时器,1个FSMC接口可用于扩展外部存储器,还包含通信接口如2个I2C,3个SPI或I2S,7个UART,1个低功耗UART,1个10/100M以太网控制器,1个集成了内部PHY的USB 2.0全速OTG控制器,以及2个FlexCAN接口。

MM32F5287L9P不具备QSPI外设接口,部分QSPI功能所在的GPIO引脚为NC。

MM32F5287L9P的工作电压为2.7V~3.6V,工作温度范围为扩展工业型-40℃~ +105℃。

MM32F5287L9P的QSPI Flash需配置后方可使用,提供LQFP144、LQFP100和LQFP64 等多种封装形式。

MM32F5287L9P适合于工业控制、电梯控制、消防控制、交通运输、打印机、扫描仪、家电控制、电机控制、扫地机等多种应用场合。

3a5751e575799258ba2ac53a39cd6727.jpeg

特征

• 内核与系统

– 工作频率可达120MHz

– 搭载32位安谋科技“星辰”STAR-MC1处理器,采用Arm®v8-M Mainline架构,内置单精度浮点运算单元(FPU),支持DSP扩展

– 4KB L1指令缓存(I-Cache)和4KB L1数据缓存(D-Cache)

– 三角函数加速单元(CORDIC),支持Sin,Cos和Atan操作

– 外设互联矩阵MindSwitch,支持定时器、GPIOs、EXTI、ADC、DAC 和比较器等模块信号间的直接连接或触发连接;内置4个可配置逻辑单元(CLU)可支持这些信号间的逻辑组合以实现更灵活的触发控制

• 存储器

– 多达2.25MB的Flash存储器

– 多达192KB的SRAM,其中包括32KB指令TCM(ITCM),32KB数据 TCM(DTCM)和128KB的系统RAM

– Boot loader支持片内Flash在线系统编程(ISP)

– FSMC接口,支持外扩SRAM/PSRAM/NOR Flash类型,兼容 8080/6800通信总线模式

• 时钟、复位和电源管理

– 2.7V~3.6V供电、

– 上电/断电复位(POR/PDR)、可编程电压监测器(PVD)、

– 外部4~24MHz高速晶体振荡器

– 内置经出厂调校的8MHz高速RC振荡器

– 内置的PLL1可产生系统时钟,支持多种分频模式,为总线矩阵和外设提供时钟

– 内置的PLL2可产生最高100MHz的系统时钟,支持多种分频模式,为 USB和ADC提供时钟

– 内置40KHz低速振荡器

– 外部32.768KHz低速振荡器,支持旁路功能

• 低功耗

– 多种低功耗模式,包括:低功耗运行(Lower Power Run)、睡眠(Sleep)、低功耗睡眠(Low Power Sleep)、停机(Stop)、深度停机(Deep Stop)和待机模式(Standby)

– VBAT为RTC和后备寄存器(20x16 位)供电

• 2个8通道DMA控制器,支持外设类型包括定时器、ADC、DAC、UART、LPUART、I2C、SPI和FlexCAN

• 13个定时器

– 2个16位4通道高级定时器(TIM1/TIM8),每个通道配有2个PWM 输出,其中包括1路互补输出有4通道PWM输出,并支持硬件死区插入和故障检测后的紧急停止功能

– 2个16位4通道通用定时器(TIM3/TIM4)和2个32位4通道通用定时器(TIM2 / TIM5),每个通道配有1个PWM输出,并支持输入捕捉和输出比较,可用于红外、霍尔传感器或者编码器信号的解码

– 2个16位基础定时器(TIM6/TIM7)可用作通用定时和产生中断

– 1个16位低功耗定时器(LPTIM)能否在除了Standby以外的所有低功耗模式下唤醒处理器

– 2个看门狗定时器,包括独立型的IWDG和窗口型的WWDG

– 1个24位Systick定时器

– 1个RTC实时时钟

• 多达112个快速I/O端口

– 所有I/O口可以映像到16个外部中断

– 所有端口均可输入输出电压不高于VDD的信号

– 多达85个5V容忍I/O端口

• 多达17个通信接口

– 7个UART接口

– 1个LPUART接口

– 2个I2C接口

– 3个SPI 接口(支持I2S模式)

– 1个USB 2.0全速OTG控制器,内置PHY

– 1个10/100M以太网控制器

– 2个FlexCAN接口,兼容CAN 2.0B协议

• 2个12位ADC,共支持21个外部输入通道和2个内部输出通道,其中每个ADC支持最快3MSPS转换率

– 转换范围:0~VDDA

– 支持采样时间和分辨率配置

– 支持硬件过采样,过采样次数从2到256次可选

– 片上温度传感器

– 片上电压传感器

– VBAT电压传感器

• 2个12位DAC

• 3个高速模拟比较器

• CRC计算单元

• 96位芯片唯一ID(UID)

• 调试模式

– 串行调试接口(SWD)

– JTAG接口

– 采用LQFP144、LQFP100和LQFP64封装

9895fc561f602b8092a336779e67b1a6.jpeg

系统框图


昂科技术自主研发的AP8000万用烧录器包含主机,底板,适配座三大部分。


148e2bd08b87e4e5889cbaca51beef8c.jpeg


主机支持USB和NET连接,允许将多台编程器进行组网,达到同时控制多台编程器同时烧录的目的。内置芯片安全保障电路保证即使芯片放反或其他原因造成的短路可以被立即检测到并进行断电处理,以保障芯片和编程器安全。内嵌高速FPGA,极大地加速数据传输和处理。主机背部有SD卡槽,将PC软件制作得到的工程文件放到SD卡的根目录下并插入到该卡槽内,通过编程器上的按键可进行工程文件的选择,加载,执行烧录等命令,以达到脱离PC便可操作的目的,极大地降低了PC硬件配置成本,方便迅速地搭配工作环境。

AP8000通过底板加适配板的方式,让主机扩展性更强,目前已经支持了所有主流半导体厂家生产的器件,包括TI, ST, MicroChip, Atmel, Hynix , Macronix, Micron, Samsung ,Toshiba等。支持的器件类型有NAND,NOR,MCU,CPLD,FPGA,EMMC等,支持包括Intel Hex,Motorola S, Binary, POF等文件格式。

公司介绍

关于灵动微电子:灵动微电子(MindMotion)成立于2011年,是中国本土通用32位MCU产品及解决方案供应商。灵动微电子的MCU产品以MM32为标识,基于Arm Cortex-M系列内核,自主研发软硬件和生态系统。目前已量产200多款型号,累计交付超3亿颗,在本土通用32位MCU公司中位居前列。客户涵盖智能工业、汽车电子、通信基建、医疗健康、智慧家电、物联网、个人设备、手机和电脑等应用领域。灵动微电子是中国为数不多的同时获得了Arm-KEIL、IAR、SEGGER官方支持的本土MCU公司,并建立了独立、完整的通用MCU生态体系,可以为客户提供从硬件芯片到软件算法、从参考方案到系统设计的各项支持。


关于昂科技术:昂科技术(ACROVIEW)是全球领先的半导体芯片烧录解决方案提供商,公司坚持以科技改变世界、用智能驱动未来,持续不断的为客户创造价值。昂科的AP8000通用烧录器平台及最新的IPS5000烧录自动化解决方案,为半导体和电子制造领域客户提供一站式解决方案,公司已服务包括华为、比亚迪、富士康等全球领先客户。


文章来源于:www.acroview.com

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1991294.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

CSS技巧专栏:一日一例 20-纯CSS实现点击会凹陷的按钮

本例图片 案例分析 其实这个按钮非常的简单啊&#xff0c;主要就是利用了box-shadow的inset。 布局代码 <button class"base">凹下的按钮</button> 基础样式 :root{--main-bg-color: #dcdcdc; /* 将页面背景色调整为浅灰色 */--color:#000;--hover-…

Cesium手动建模模型用Cesiumlab转3D Tiles模型位置不对,调整模型位置至指定经纬度

Cesium加载3Dtiles模型的平移和旋转_3dtiles先旋转再平移示例-CSDN博客 Cesium 平移cesiumlab生产的3Dtiles切片模型到目标经纬度-CSDN博客 【ArcGISCityEngine】自行制作Lod1城市大尺度白膜数据_cityengine 生成指定坐标集指定区域的白模-CSDN博客 以上次ArcGISCityEngine制…

IEEE Transactions on Intelligent Transportation Systems投稿指南

投稿记录 submitted 2024-5-29 Awaiting AE Assignment 2024-6-11 Under review 2024-6-15 Awaiting EIC Decision 2024-6-24 感觉要拒稿的节奏 Resubmit To Another Journal 2024-6-25 与期刊不符合 下载模板 IEEE Transactions on Intelligent Transportation Syste…

java.lang.NoClassDefFoundError: ch/qos/logback/core/util/StatusPrinter2

1、问题 SpringBoot升级报错&#xff1a; Exception in thread "main" java.lang.NoClassDefFoundError: ch/qos/logback/core/util/StatusPrinter2 类找不到&#xff1a; Caused by: java.lang.ClassNotFoundException: ch.qos.logback.core.util.StatusPrinter22、…

【Vue3】Pinia $subscribe

【Vue3】Pinia $subscribe 背景简介开发环境开发步骤及源码 背景 随着年龄的增长&#xff0c;很多曾经烂熟于心的技术原理已被岁月摩擦得愈发模糊起来&#xff0c;技术出身的人总是很难放下一些执念&#xff0c;遂将这些知识整理成文&#xff0c;以纪念曾经努力学习奋斗的日子…

前端框架(三件套)

学习网站 HTML 系列教程&#xff08;有广告&#xff09; HTML&#xff08;超文本标记语言&#xff09; | MDN (mozilla.org)&#xff08;英文不太友好&#xff09; 1.HTML5 & CSS3 1.1HTML5表格 <!DOCTYPE html> <html lang"en"> <head>…

常见框架漏洞详解②!!

中间件 中间件&#xff08;英语&#xff1a;Middleware&#xff09;是提供系统软件和应⽤软件之间连接的软件&#xff0c;以便于软件各部 件之间的沟通。 中间件处在操作系统和更⾼⼀级应⽤程序之间。他充当的功能是&#xff1a;将应⽤程序运⾏环境与操作系统隔离&#xff0c;…

setState执行机制

当this.setState()被调用时&#xff0c;React会重新调用render方法来重新绘制UI 异步更新 setState通过一个队列机制实现state的更新当执行setState时&#xff0c;会将需要更新的state合并后放入状态队列&#xff0c;而不是立刻更新队列机制可以高效的批量更新state&#xff…

武汉流星汇聚:亚马逊跨境电商蓝海中的领航者,共绘商业新蓝图

在全球化日益加深的今天&#xff0c;跨境电商已成为连接世界市场的桥梁&#xff0c;为企业提供了前所未有的发展机遇。在这片充满机遇的蓝海中&#xff0c;武汉流星汇聚电子商务有限公司凭借其深厚的行业底蕴、卓越的用户体验以及“以客户为中心”的坚定理念&#xff0c;在亚马…

嵌入式学习day12(LinuxC高级)

由于C高级部分比较零碎&#xff0c;各部分之间没有联系&#xff0c;所以学起来比较累&#xff0c;多练习就好了 一丶Linux起源 寻科普|第二期:聊聊Linux的前世今生 UNIX和linux的区别&#xff1a; &#xff08;1&#xff09;linux是开发源代码的自由软件&#xff0e;而unix是…

前端模块化-探究webpack loader的原理以及实现常见的loader

前言 本节主要介绍这些插件的基本原理并手写一些常用的 Loader。 本节对应的 demo 可以在这里找到。 什么是 Loader 在 Webpack 中&#xff0c;Loader 是用于对模块的源代码进行转换的工具。Webpack 将一切视为模块&#xff0c;而这些模块可能是各种类型的文件&#xff0c;如…

VSCode编译多个不同文件夹下的C++文件

实际上VSCode编译C文件就是通过向g传递参数实现的&#xff0c;因此即使是不同包下面的cpp文件或者.h文件都是可以通过修改g的编译参数实现&#xff0c;而在VSCode中&#xff0c;task.json文件其实就是在配置g的编译参数&#xff0c;因此我们可以通过修改task.json里面的参数&am…

洛谷 B2145 digit 函数 B2146 Hermite 多项式 题解

题目目录&#xff1a; No.1 B2145 digit 函数 No.2 B2146 Hermite 多项式 OK&#xff0c;开始正文&#xff01; 第一题&#xff1a;B2145 digit 函数 题目描述 在程序中定义一函数 digit(n,k)&#xff0c;它能分离出整数 n 从右边数第 k 个数字。 输入格式 正整数 n …

Topsis法模型(评价类问题)

目录 本文章内容参考&#xff1a; 一. 概念 二. 特点和适用范围 三. 实现步骤 四. 代码实现 本文章内容参考&#xff1a; TOPSIS法模型讲解(附matlab和python代码) 【数学建模快速入门】数模加油站 江北_哔哩哔哩_bilibili 一. 概念 TOPSIS&#xff08;Technique for Or…

让EHS管理更智能,一起来看物联网如何重塑企业EHS管理

随着信息技术的飞速发展&#xff0c;物联网&#xff08;IoT&#xff09;技术正逐步渗透到企业管理的各个领域&#xff0c;特别是在环境、健康与安全&#xff08;EHS&#xff09;管理方面&#xff0c;物联网技术展现出了巨大的潜力和价值。 一、物联网技术在EHS管理中的应用场景…

达梦数据库 逻辑备份还原

达梦的逻辑备份还原 1.背景2.要求3.实验步骤3.1 相关术语3.2 dexp逻辑导出3.2.1 使用dexp工具3.2.2 dexp相关参数含义3.2.3 四种级别导出3.2.3.1 FULL3.2.3.2 OWNER3.2.3.3 SCHEMAS3.2.3.4 TABLES 3.2.4 使用范例3.2.4.1 环境准备3.2.4.2 dexp逻辑导出 3.3 dimp逻辑导入3.3.1 使…

【大模型从入门到精通10】openAI API 提示链的力量1

这里写目录标题 提示链的力量核心概念理解提示链用于清晰说明的类比 实际应用与益处工作流程管理成本效率错误减少动态信息加载 方法学步骤式方法最佳实践 示例设置环境从用户查询中提取相关信息获取详细产品信息 提示链的力量 核心概念 理解提示链 提示链涉及将复杂任务分解…

C++速学day2

xia复习 上一天的学习内容&#xff1a; 重点&#xff1a;1、封装———— 就是对类的抽象 &#xff0c;将一种对象的共性 抽象成一个类。 2、三个函数——-构造函数/复制构造函数/析构函数 注意&#xff1a;析构函数和构造函数的调用顺序刚好相反。 新内容 两个类的关系 …

巨能涨!用AI做沙雕日常图文号,闭眼出大爆款!接个软广3000+!

家人们&#xff01;最近圈子陆续整理了一波在小红书上&#xff0c;适合植入软广的AI小红书商单玩法案例&#xff0c;例如&#xff1a;AI美女博主账号、AI养生博主账号、AI治愈插画Vlog短视频账号等等&#xff0c;接下来也会持续输出更多高价值的软广案例玩法。 今天刚好在刷小…

【python】PyQt5中QButtonGroup的详细用法解析与应用实战

✨✨ 欢迎大家来到景天科技苑✨✨ &#x1f388;&#x1f388; 养成好习惯&#xff0c;先赞后看哦~&#x1f388;&#x1f388; &#x1f3c6; 作者简介&#xff1a;景天科技苑 &#x1f3c6;《头衔》&#xff1a;大厂架构师&#xff0c;华为云开发者社区专家博主&#xff0c;…