基于FPGA的数字信号处理(21)--超前进位加法器(Carry Lookahead Adder,CLA)

news2024/9/28 3:28:41

目录

1、什么是超前进位加法器

2、CLA加法器的关键路径

3、CLA加法器的Verilog实现

4、CLA加法器的时序性能

5、总结


        文章总目录点这里:《基于FPGA的数字信号处理》专栏的导航与说明


1、什么是超前进位加法器

        在之前的文章,我们介绍了行波进位加法器(RCA)。RCA有一个很大的缺点就是关键路径延迟非常高,而之所以高完全是因为进位路径太长了,如果我们能想办法缩短进位路径,那就可以提高加法器的速度。

        RCA的缺点在于第k位的进位Ck必须依赖于前一级的Ck-1,最高位的进位将必须等待之前所有级进位计算完毕后才能计算出结果。所以,超前进位加法器的核心思想是并行计算进位Ck

        对于任何一个全加器都有:

s = a ^ b ^ cin

cout = ab + cin(a ^ b)

        观察上式s和c,将共有部分分别定义为:

img

        其中的Ggenerate,它表示只有当ab均为1时才为1,说明此时 生成 了进位;Ppropagate,它表示只有当ab不同时才为1,说明此时的进位才能 传播 到高位。例如ab不同,如 xxx1 + xxx0,此时的低位进位若为1,这个进位就可以被传递到高位,因为 1 + 0 + 1 一定会产生进位。若ab相同,如 xxx1 + xxx1 ,此时不管低位进位是0还是1,都会被卡在最低位,因为1 + 1 一定等与0,此时的进位是由这一位的加法产生的,而和来自低位的进位无关。

        仍以2个4bits数的加法为例,将上式代入的到他们的逻辑表达式,有:

c1 = g0 + c0 · p0

c2 = g1 + c1 · p1

c3 = g2 + c2 · p2

c4 = g3 + c3 · p3

        上面的式子可以被展开,如下:

c1 = g0 + c0 · p0

c2 = g1 + c1 · p1 = g1 + p1 · (g0 + c0 · p0) = g1 + p1 · g0 + c0 · p0 · p1

c3 = g2 + c2 · p2 = g2 + p2 · (g1 + p1 · (g0 + c0 · p0) ) = g2 + p2 · g1 + p2 · p1 · g0 + p2 · p1 · p0 · c0

c4 = g3 + c3 · p3 = g3 + p3 · (g2 + p2 · (g1 + p1 · (g0 + c0 · p0) )) = g3 + p3 · g2 +p3 · p2 · g1 + p3 · p2 · p1 · g0 + p3 · p2 · p1 · p0 · c0

        和的部分可以写成如下形式:

s0 = p0 ^ c0

s1 = p1 ^ c1

s2 = p2 ^ c2

s3 = p3 ^ c3

        根据上述式子,可以推断出电路的结构示意图,这种加法器就叫做超前进位加法器(Carry Lookahead Adder,CLA)

2、CLA加法器的关键路径

        从上面的公式推断似乎只发现了CLA的电路面积巨大,而没有看出来速度快啊?接下来分析一下CLA电路关键路径的延迟。首先是进位链的延迟:

c1 = g0 + c0 · p0,g0和p0都需要一个门电路,c0 · p0需要一个门电路,g0 + c0 · p0需要一个门电路,所以最终的延迟为3个门电路

c2 = g1 + p1 · g0 + c0 · p0 · p1,同理需要3个门电路

c3和c4同样需要3个门电路

        其中,c4的电路结构如下:

image-20240426212154293

        以上说明进位链延迟都是3个门电路,同时别忘了,和的输出是要用到进位的:

s0 = p0 ^ c0

s1 = p1 ^ c1

s2 = p2 ^ c2

s3 = p3 ^ c3

        所以,整个电路的关键路径已经不是进位了,而是和,此时的延迟是 3 + 1 = 4个门电路延迟

        为什么CLA电路的进位的延迟小了这么多呢?很简单,面积换时间!从上面也可以看到,由于进位都是同时并行计算出来的,所以用到的电路面积特别大,单单计算一个c4就用了13个门电路(其中一些门电路还是3输入/4输入的)。可以预见,随着加法器位宽的增加,电路面积也会爆炸式地增加!

        对于较大位宽的加法器,可以设计成多个CLA级联的形式,例如16bits数的加法,可以设计成4个CLA电路级联,这样的电路既有 “超前进位” 的部分,也有 “行波进位” 的部分 。如下:

image-20240426214123483

        总而言之,RCA的缺点在于关键路径长,限制了速度;CLA关键路径短,速度快,进位链计算依赖少,但对于位宽较大的加法器,PG和进位生成逻辑大,存在较大扇入扇出,变化信号多,会有较多的glitch,且面积与复杂度比同等的RCA大。

3、CLA加法器的Verilog实现

        根据上面的公式和结构示意图,可以很容易地写出两个4bits数的CLA加法器的verilog代码:

//cla加法器
module cla(
    input   [3:0]   x,      //加数1
    input   [3:0]   y,      //加数2
    input           cin,    //来自低位的进位
    output  [3:0]   sum,    //和
    output          cout    //向高位的进位
);
​
wire [4:0]  c;      //进位连接变量
wire [3:0]  g;      //generate变量
wire [3:0]  p;      //propagate变量
​
assign cout = c[4];
​
//生成和 
assign sum = p ^ c[3:0];    
​
//生成进位
assign c[0] = cin;
assign c[4:1] = g | (c & p);
    
assign p = x ^ y;   //生成propagate信号
assign g = x & y;   //生成generate信号
​
endmodule 

        生成的示意图如下(这个排布不能很好地看出来层次结构,但确实没错):

image-20240426225221371

        然后写个TB测试一下这个加法器电路,因为4个bits即16×16=256种情况,加上低位借位的两种情况,也才256×2=512种情况,所以可以用穷举法来测试:

`timescale 1ns/1ns              //时间刻度:单位1ns,精度1ns
​
module tb_cla();            
​
//定义变量  
reg     [3:0]   x;      //加数1
reg     [3:0]   y;      //加数2
reg             cin;    //来自低位的进位
wire    [3:0]   sum;    //和
wire            cout;   //向高位进位
​
reg [3:0]   sum_real;   //和的真实值,作为对比
reg         cout_real;  //向高位进位的真实值,作为对比
wire        sum_flag;   //sum正确标志信号
wire        cout_flag;  //cout正确标志信号
​
assign sum_flag  = sum  == sum_real;    //和的结果正确时拉高该信号
assign cout_flag = cout == cout_real;   //进位结果正确时拉高该信号
​
integer z,i,j;  //循环变量
​
//设置初始化条件
initial begin
    //初始化
    x =1'b0;    
    y =1'b0;    
    cin =1'b0;  
    //穷举所有情况
    for(z=0;z<=1;z=z+1)begin
        cin = z;
        for(i=0;i<16;i=i+1)begin
            x = i;
            for(j=0;j<16;j=j+1)begin
                y = j;
                if((i+j+z)>15)begin                 //如果加法的结果产生了进位
                    sum_real = (i+j+z) - 16;        //减掉进位值
                    cout_real = 1;                  //向高位的进位为1
                end
                else begin                          //如果加法的结果没有产生了进位
                    sum_real = i+j+z;               //结果就是加法本身
                    cout_real = 0;                  //向高位的进位为0
                end
                #5;             
            end 
        end
    end
    #10 $stop();    //结束仿真  
end
​
//例化被测试模块
cla u_cla(
    .x      (x),
    .y      (y),    
    .sum    (sum),
    .cin    (cin),
    .cout   (cout)
);
    
endmodule

        TB中分别用3个嵌套循环将所有情况穷举出来,即cin=0~1、x=0~15和y=0~15的所有情况。加法运算的预期结果也是很容易就可以找出来的,就是在TB中直接写加法就行。接着构建了两个标志向量sum_flag和cout_flag作为电路输出与预期结果的对比值,当二者一致时即拉高这两个信号。这样我们只要观察这两个信号,即可知道电路输出是否正确。仿真结果如下:

image-20240426225353451

        可以看到,sum_flag和cout_flag都是一直拉高的,说明电路输出正确。

        为了满足不同位宽的加法,这里也给出参数化设计形式的Verilog代码:

//cla加法器
module cla
#(
    parameter integer WIDTH = 4
)
(
    input   [WIDTH-1:0] x,      //加数1
    input   [WIDTH-1:0] y,      //加数2
    input               cin,    //来自低位的进位
    output  [WIDTH-1:0] sum,    //和
    output              cout    //向高位的进位
);
​
wire [WIDTH  :0]    c;      //进位连接变量
wire [WIDTH-1:0]    g;      //generate变量
wire [WIDTH-1:0]    p;      //propagate变量
​
assign cout = c[WIDTH];
​
//生成和 
assign sum = p ^ c[WIDTH-1:0];  
​
//生成进位
assign c[0] = cin;
assign c[WIDTH:1] = g | (c & p);
    
assign p = x ^ y;   //生成propagate信号
assign g = x & y;   //生成generate信号
​
endmodule

4、CLA加法器的时序性能

        为了探究CLA加法器的时序性能,需要再原有代码的基础上,做一些小小的改变:在输入和输出分别添加上寄存器。如下:

//cla加法器
module cla
#(
    parameter integer WIDTH = 8
)
(
    input               clk,
    input   [WIDTH-1:0] x,      //加数1
    input   [WIDTH-1:0] y,      //加数2
    input               cin,    //来自低位的进位
    output  [WIDTH-1:0] sum,    //和
    output              cout    //向高位的进位
);
​
wire [WIDTH  :0]    c;      //进位连接变量
wire [WIDTH-1:0]    g;      //generate变量
wire [WIDTH-1:0]    p;      //propagate变量
wire [WIDTH-1:0]    sum_w;  //用来连线传递和
reg                 cin_r,cout_r;
reg [WIDTH-1:0]     x_r,y_r,sum_r;
​
//生成和 
assign sum_w = p ^ c[WIDTH-1:0];    
​
//生成进位
assign c[0] = cin_r;
assign c[WIDTH:1] = g | (c & p);
​
//生成PG信号    
assign p = x_r ^ y_r;   //生成propagate信号
assign g = x_r & y_r;   //生成generate信号
​
//输出端口连接
assign sum = sum_r;
assign cout = cout_r;
​
//输入寄存
always@(posedge clk)begin
    x_r <= x;
    y_r <= y;
    cin_r <= cin;
end
​
//输出寄存
always@(posedge clk)begin
    sum_r <= sum_w;
    cout_r <= c[WIDTH];     //最高位是输出的进位
end
​
endmodule

        分别例化4位加法,8位加法,16位加法和32位加法,记录它们的逻辑级数logic levels、最差建立时间裕量WNS和电路面积,并算出最大运行频率Fmax。如下:

4位8位16位32位
WNS(ns)8.7778.1557.3065.557
Fmax(Mhz)818542371225
logic levels(级)24713
电路面积(不考虑FF)4 LUT8 LUT24 LUT56 LUT

        从上表可以看到:

  • 随着加法器位宽的增加,逻辑级数也越来越大,这是导致时序性能变差的直接原因。

  • 时序性能从818M相关性地降低到180M,需要说明的是这里的最大频率Fmax只能作为一个参考,因为我整个工程只添加了这么一个加法器,而且Fmax一般还和FGPA的器件强挂钩,一般的器件肯定是跑不到800M的,这里我们主要是观察这个频率降低的趋势。

  • 电路面积上是几位加法就用几个LUT

        在之前的文章中,也统计了RCA电路的相关指数,这里再贴出来:

4位8位16位32位
WNS(ns)8.7778.1556.9174.429
Fmax(Mhz)818542324180
logic levels(级)24816
电路面积(不考虑FF)4 LUT8 LUT16 LUT32 LUT

        可以看到,随着位宽的增加,CLA加法器还是比RCA加法器要快一些(差距不大是因为FPGA没有门电路,都用LUT合并了很多逻辑),但是相应的其消耗的电路面积也要更多。

        作为参考,接下来我们不使用任何加法器,就直接用加法运算符 + 来实现加法,电路就让综合工具vivado自动生成,看看性能如何:

4位8位16位32位
WNS(ns)8.7778.7558.6578.461
Fmax(Mhz)818803745650
logic levels(级)2359
电路面积(不考虑FF)4 LUT8 LUT + 3 CARRY416 LUT + 5 CARRY432 LUT + 9 CARRY4

        从上表可以看到:

  • vivado综合出来的加法电路在时序性能上明显比 “假CLA” 电路要强。

  • 逻辑级数的增加并没有 “假CLA” 电路那么明显,哪怕是32位的加法也只有9级逻辑层级。这也是它频率能跑很高的直接原因。

  • 4位加法使用的电路面积和 “假CLA” 是一样的,因为位宽较小,综合工具直接用LUT而不是CARRY4来生成电路,二者在小位宽时的时序性能差不多

  • 之所以大位宽加法的时序性能仍然比较好是因为综合工具使用CARRY4来实现加法,这种结构的加法电路有很快的进位速度,而且可以合并很多个进位链上的LUT从而减少逻辑级数

  • CARRY4的使用尽管可以提高时序性能,但是也会增大一部分电路面积。当然了,拿这点面积来换性能的提升,还是十分划算的。

如果你不了解CARRY4,可以看看这篇文章:从底层结构开始学习FPGA(7)----进位链CARRY4

或者看看这个专栏:从底层结构开始学习FPGA

5、总结

        超前进位加法器CLA由于自身的门电路结构和FPGA的结构原因,导致其在FPGA设计中并没有太多作用。对于FPGA设计来说,如今的综合工具已经非常智能了,所以一般的加法还是不要自己设计加法器了,直接让综合工具生成或者用IP就行。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1980865.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

通过Sm@rtServer远程访问西门子触摸屏的具体方法示例

通过Sm@rtServer远程访问西门子触摸屏的具体方法示例 配置组态 CPU 1513-F 6ES7 513-1FL02-0AB0 TP1200 精智面板 6AV2 124-0MC01-0AX0 TIA PORTAL V16 具体步骤可参考以下内容: 在TIA项目树中,打开HMI菜单,点击‘运行系统设置’→‘服务’→勾选远程控制中的‘启动Sm@rtSer…

探索GPT-4o mini:开启AI驱动的开发新时代

文章目录 GPT-4o mini&#xff1a;小身材&#xff0c;大能量成本与效能的完美平衡 AI辅助开发&#xff1a;从构想到现实自动化文档编写智能代码审查 提升创新能力&#xff1a;AI驱动的新常态模型驱动的设计思维 社区共享与合作知识共享的重要性 未来展望&#xff1a;AI与人类的…

用Manim标出在图形上的指定位置

用Manim标出在图形上的指定位置 在数据可视化和数学演示中&#xff0c;将数据点与坐标系中的轴连接起来对于理解和分析数据的关系至关重要。通过绘制从坐标轴指向特定点的线&#xff0c;可以直观地展示数据点在二维空间中的位置。这种方法在多种场景下都具有重要意义&#xff0…

虚拟机基础配置

基础配置&#xff1a; 挂载、软件仓库、网络配置、主机名、本地解析、关闭防火墙、关闭SELinux RHEL9 1.挂载 2.开机自启 3.仓库 4.网卡显示名称设置为ethx 注&#xff1a;/etc/NetworkManager/system-connections/为rhel9中的网络配置文件 5.设置IP地址&#xff0c;主机名…

虚实结合的智慧农业虚拟仿真实训室建设方案

一、智慧农业虚拟仿真实训室概述 当前&#xff0c;农业领域正经历着深刻的变革&#xff0c;物联网、大数据、云计算、人工智能等技术的广泛应用&#xff0c;为农业生产提供了精准、高效、可持续的解决方案。然而&#xff0c;传统农业教育往往受限于地域、季节、资源等因素&…

R语言论文插图模板第1期—折线图

在我出的Matlab相关内容下&#xff0c;常常有人问&#xff0c;有没有R语言版本&#xff0c;有没有Python版本&#xff0c;有没有Origin版本…… 以前觉得&#xff0c;选择一个软件&#xff0c;然后用到极致&#xff0c;便足够了。 但实际工作后&#xff0c;发现大家都是哪个软…

【Python系列】Python获取 Excel 文件的行数

&#x1f49d;&#x1f49d;&#x1f49d;欢迎来到我的博客&#xff0c;很高兴能够在这里和您见面&#xff01;希望您在这里可以感受到一份轻松愉快的氛围&#xff0c;不仅可以获得有趣的内容和知识&#xff0c;也可以畅所欲言、分享您的想法和见解。 推荐:kwan 的首页,持续学…

顶底预测 反转指标 文华财经指标公式源码 九稳量化系统 好用的期货指标公式顶底预测 期货指标公式精准买卖点无滞后顶底预测

我觉得期货市场就是一个战场的翻版。 以我多年的交易经验&#xff0c;盈利加仓符合顺势原理&#xff0c;成功率较高。 在具体交易时&#xff0c;都是先看技术指标&#xff0c;后找基本面辅助。 震荡行情对于趋势交易者一直是个难题。 九稳量化交易系统 是一套高胜率策略趋势…

2023华为od机试C卷【最富裕的小家庭】Python实现

思路&#xff1a; def main():Num int(input())#获取成员数#获取金钱列表&#xff0c;为了1对应100&#xff0c;我们添加一个索引为0对应的值为0Moneys list(map(int,input().split()))#获取金钱输入Moneys.insert(0,0)#成员-金钱映射relationship {}for i in range(1,Num1)…

基于多源夜间灯光数据制作的近30年全球城市范围数据(1992-2020 )

全球城市范围年度数据集&#xff08;1992-2020 &#xff09; 数据介绍 通过长时间序列了解全球城市化的时空动态对于实现可持续发展目标越来越重要。通过融合多源夜光观测数据创建的统一夜光&#xff08;NTL&#xff09;时间序列复合数据为描述和了解全球城市动态提供了长期、一…

适合药企使用的药物研发项目管理软件有哪些?

瑞杰 SuperProject 医药研发项目管理系统 瑞杰 SuperProject 医药研发项目管理系统&#xff0c;是由国内知名的医药研发项目管理系统供应商 - 北京瑞杰智能科技有限公司自主研发。全面支持医药研发、医疗器械研发、基因研发等生命健康领域的研发过程管控。 了解瑞杰 SuperProj…

“八股文”在实际工作中的角色:助力、阻力还是空谈?

目录 “八股文”在实际工作中的角色&#xff1a;助力、阻力还是空谈&#xff1f; 一、引言 二、“八股文”的起源与目的 2.1、助力&#xff1a;扎实的基础和关键时刻的救命稻草 2.2、阻力&#xff1a;脱离实际的高压和不切实际的预期 2.3、空谈&#xff1a;对工作能力的误…

RTSP系列四:RTSP Server/Client实战项目

RTSP系列&#xff1a; RTSP系列一&#xff1a;RTSP协议介绍-CSDN博客 RTSP系列二&#xff1a;RTSP协议鉴权-CSDN博客 RTSP系列三&#xff1a;RTP协议介绍-CSDN博客 RTSP系列四&#xff1a;RTSP Server/Client实战项目-CSDN博客 目录 一、RTSP Server实战项目 1、准备 2、…

Model Counting 2024 Public Instance Track 1 3600s测试结果

测试求解器&#xff1a;SharpSAT-TD与SharpSATTD-CH 3600s测试结果 测试结果图 测试数据001-051 测试数据053-101 测试数据103-151 测试数据153-199

两垂直线斜率乘积为-1的证明

如上图所示,直线L1和直线L2相互垂直,求证L1和L2的斜率。 求证过程如下: 设A点坐标为,B点坐标为,C点坐标为, 可知直线L1的斜率为:,直线L2的斜率为:

科普文:微服务之微服务改造【无状态token】JWT-token

一、什么是JWT Json web token (JWT), 是为了在网络应用环境间传递声明而执行的一种基于JSON的开放标准&#xff08;(RFC 7519). 该token被设计为紧凑且安全的&#xff0c;特别适用于分布式站点的单点登录&#xff08;SSO&#xff09;场景。 JWT的声明一般被用来在身份提供者…

PayPal为什么会封号?PayPal会关联吗

在做跨境电商的卖家&#xff0c;多多少少都会听到或者使用过PayPal。PayPal作为一家海外知名的支付公司&#xff0c;在全球拥有4亿的用户体量。在欧美地区使用PayPal成为一种主流支付方式&#xff0c;PayPal同时也是多家电商平台还有独立站的主流支付方式。正因为如此&#xff…

【C++ STL】stackqueue

文章目录 stack&queue1. 介绍1.1 stack1.2 queue 2. 接口2.1 stack2.2 queue 3. OJ3.1 最小栈3.2 验证栈序列3.3 逆波兰表达式求值3.4 用栈实现队列3.5 用队列实现栈 4. 模拟实现4.1 stack4.2 queue stack&queue 1. 介绍 1.1 stack 栈&#xff08;Stack&#xff09;…

IP探针双端源码

源码耗费两年半的制作过程 将源码上传至你的服务器或你的主机 可以对接其他东西或者网站其他语言 使用方法 1.参数使用 http://域名/sc.php?id这是生成端 http://域名/sc1.php?id这是生成端生成的链接可以跳转链接 http://域名/ck.php?id这是查看IP 生成端&#xff0c;生成完…

“微软蓝屏”事件敲响网络安全的警钟

文章目录 前言一、对网络安全的警醒二、我们如何应对&#xff1f;总结 前言 “微软蓝屏”事件是一次由微软合作伙伴CrowdStrike的终端安全产品更新与操作系统内核冲突导致的全球性技术故障。这一事件不仅影响了多个国家的航空、银行、金融、零售、餐饮等多个行业&#xff0c;还…