昂科烧录器支持MindMotion灵动微电子的32位微控制器MM32SPIN360C

news2024/9/20 16:45:18

芯片烧录行业领导者-昂科技术近日发布最新的烧录软件更新及新增支持的芯片型号列表,其中MindMotion灵动微电子的32位微控制器MM32SPIN360C已经被昂科的通用烧录平台AP8000所支持。

MM32SPIN360C使用高性能的ARM® Cortex®­M0为内核的32位微控制器,5V输出的LDO稳压器、三组具备有自举二极管的N通道半桥栅极驱动器。MCU最高工作频率可达 96MHz,内置高速存储器,丰富的I/O端口和外设连接到外部总线。

MM32SPIN360C包含2个12位的ADC、3个比较器、3个运算放大器、1个16位通用定时器、1个32位通用定时器、3个16位基本定时器和2个16位高级定时器。还包含标准的通信接口:1个I2C接口、1个SPI接口和2个UART接口。

MM32SPIN360C工作电压为7V~48V,工作温度范围­40℃~85℃常规型和­40℃~ 105℃扩展型。多种省电工作模式保证低功耗应用的要求。

这些丰富的外设配置,使得本产品微控制器适合于多种应用场合:

MM32SPIN360C产品提供QFN48封装形式;下面给出了该系列产品中所有外设的基本

介绍。

这些丰富的外设配置,使得 MM32SPIN360C 产品微控制器适用于多种应用场合:

• 三相永磁无刷电机

• 电动工具

db5e4673cc697d0b834a0b3fd3d97207.jpeg

特征

• 内核与系统

– 32位ARM®Cortex®­M0处理器内核

– 最高工作频率可达96MHz

– 单指令周期32位硬件乘法器

– 硬件除法器(32Bit)

– 硬件开方(32bit)

• 存储器

– 高达128K字节的闪存程序存储器

– 高达12K字节的SRAM

– Boot loader支持片内Flash在线系统编程(ISP)

• 时钟、复位和电源管理

– 2.0V~5.5V供电

– 上电/断电复位(POR/PDR)、可编程电压监测器(PVD)

– 外部2~24MHz高速晶体振荡器

– 内嵌经出厂调校的48MHz高速振荡器

• 低功耗

– 睡眠(sleep)、停机(stop)和待机模式(standby)

• 2个12位模数转换器,1μS转换时间(多达16个输入通道,2个内部输入通道)

– 转换范围:0~VDDA

– 支持采样时间和分辨率配置

– 片上温度传感器

– 片上电压传感器

• 3个比较器

• 3个运算放大器

• 1个5通道DMA控制器

– 支持的外设:Timer、UART、I2C、SPI和ADC

• 多达29个快速I/O端口:

– 所有I/O口可以映像到16个外部中断

– 所有端口均可输入输出5V信号

• 调试模式

– 串行调试接口(SWD)

• 10个定时器

– 2个16位4通道高级控制定时器,有4通道PWM输出,以及死区生成和紧

急停止功能

– 1个16位定时器和1个32位定时器,有高达4个输入捕获/输出比较,可用

于IR控制解码

– 2个16位定时器,有1个输入捕获/输出比较和1组互补输出,死区生成,紧

急停止,调制器门电路用于IR控制

– 1个16位定时器,有1个输入捕获/输出比较

– 2个看门狗定时器 (IWDG和WWDG)

– 1个Systick定时器:24位自减型计数器

• 多达4个通信接口

– 2个UART接口

– 1个I2C接口

– 1个SPI接口

• 5V LDO稳压器

– 输入电压最高13.5V

• 三组N型半桥式栅极驱动器(GATE­DRIVER)

– 工作电压7V~48V

– 支持电压UVLO保护

– 1A/1A SINK/SOURCE三相栅极驱动电流

– 内建自举二极管

– 内建65ns死区时间设置

• 采用QFN48封装


aad2c6f960c1eb4f80cab8e11495203e.jpeg

模块框图


昂科技术自主研发的AP8000万用烧录器包含主机,底板,适配座三大部分。


19cb75e1cbf0b971c51bbba61ea649a8.jpeg


主机支持USB和NET连接,允许将多台编程器进行组网,达到同时控制多台编程器同时烧录的目的。内置芯片安全保障电路保证即使芯片放反或其他原因造成的短路可以被立即检测到并进行断电处理,以保障芯片和编程器安全。内嵌高速FPGA,极大地加速数据传输和处理。主机背部有SD卡槽,将PC软件制作得到的工程文件放到SD卡的根目录下并插入到该卡槽内,通过编程器上的按键可进行工程文件的选择,加载,执行烧录等命令,以达到脱离PC便可操作的目的,极大地降低了PC硬件配置成本,方便迅速地搭配工作环境。

AP8000通过底板加适配板的方式,让主机扩展性更强,目前已经支持了所有主流半导体厂家生产的器件,包括TI, ST, MicroChip, Atmel, Hynix , Macronix, Micron, Samsung ,Toshiba等。支持的器件类型有NAND,NOR,MCU,CPLD,FPGA,EMMC等,支持包括Intel Hex,Motorola S, Binary, POF等文件格式。

公司介绍

关于灵动微电子:灵动微电子(MindMotion)成立于2011年,是中国本土通用32位MCU产品及解决方案供应商。灵动微电子的MCU产品以MM32为标识,基于Arm Cortex-M系列内核,自主研发软硬件和生态系统。目前已量产200多款型号,累计交付超3亿颗,在本土通用32位MCU公司中位居前列。客户涵盖智能工业、汽车电子、通信基建、医疗健康、智慧家电、物联网、个人设备、手机和电脑等应用领域。灵动微电子是中国为数不多的同时获得了Arm-KEIL、IAR、SEGGER官方支持的本土MCU公司,并建立了独立、完整的通用MCU生态体系,可以为客户提供从硬件芯片到软件算法、从参考方案到系统设计的各项支持。


关于昂科技术:昂科技术(ACROVIEW)是全球领先的半导体芯片烧录解决方案提供商,公司坚持以科技改变世界、用智能驱动未来,持续不断的为客户创造价值。昂科的AP8000通用烧录器平台及最新的IPS5000烧录自动化解决方案,为半导体和电子制造领域客户提供一站式解决方案,公司已服务包括华为、比亚迪、富士康等全球领先客户。

文章来源于:www.acroview.com

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1967656.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

九大原则,轻松构建个人高效SOP

1、原则一、工作汇报SOP SCQA模型(升职加薪的关键!) 清晰定义问题和提出解决方案 类别 关键词 解读 S - Situation 情景 陈述项目背景,目标,愿景 C - Complication 冲突 讲卡点,讲冲突 Q - Question 疑问-问题 这些冲…

vue2 封装插槽组件

安装 element-ui npm install element-ui --save ---force main.js 导入 import Vue from vue; import ElementUI from element-ui; import element-ui/lib/theme-chalk/index.css; import App from ./App.vue; Vue.use(ElementUI); new Vue({ el: #app, render: h > h(Ap…

未来RPA财税的发展前景

近年来,全球数字化进程持续提速,越来越多企业受到效率及运营成本的压力,正努力寻求企业增长发展的新路径,而财务作为企业战略的“大脑”,成为企业数字化转型的重要突破口。RPA技术由于能够自动化各种重复性和繁琐的任务…

如何利用智能电子工牌提高酒店员工的服务技能和沟通效率?

智能电子语音工牌的基本功能 智能电子录音工牌通常集成了录音、语音识别、数据上传等功能,能够在员工与客户互动的过程中实时录制音频,并将数据上传到云端服务器。这些工牌还可能配备定位功能,以便追踪员工的位置和服务轨迹。通过语音识别技…

职场里有3个错觉,如果正好说中你,抓紧醒过来

1.没有我肯定不行。 其实大多数公司里的绝大多数人都可以被替代,无非是在个体层面替代的成本高一点、低一点罢了。 所以千万别高估自己,否则会造成极其严重的误判。 我之前的公司有一个部门,这里面有个员工,专业对口&#xff0…

vue-cli搭建项目笔记

1. 在指定位置打开终端 2. 运行 指令 vue create xtx选择 vue2 等待创建完成。。。。。 大概5.52s完成 3.启动项目 进入 项目 目录 cd xtx 启动 yarn run serve 4. 访问 浏览器 访问 localhost:8080 5. 项目开发 清空项目 App.vue 注意:每一个vue组件中的…

柯桥零基础学韩语韩语俗语韩语日常口语培训

반모반말모드 반말모드 非敬语模式 존모존댓말모드 존댓말모드 敬语模式 2 비담 비담비주얼담당 비주얼 담당, 意思就是颜值担当。 3 보배 보배보조배터리15857575376# 随身充、充电宝 如果单讲单词,是宝贝的意思。网络用语角度理解的话就是充电宝 4 삼귀다…

这4个思维导图软件剪辑就是整理思维的魔法工具。

思维导图作为一个能够帮助我们呈现可视化内容,激发创意以及提高我们记忆力的工具;正在被越来越多的人使用。然而选择一款适合自己的脑图软件,会为我们的学习、工作和生活带来很大的便利,如果你依旧还在寻找的话,不妨看…

SVM解决线性不可分问题

在一个棋盘上杂糅的摆放着黑白两种棋子,要求我们以最快的速度将它们各自分开,这时我们应该如何做呢?也许喜欢金庸武侠的小伙伴已经想到了答案。 假如你是一位拥有深厚内力的大侠,你直接可以拍盘而起,让棋子们飞起来&am…

secExample靶场之java反序列化漏洞复现

我是使用kali虚拟机搭建的靶场,具体搭建步骤可以看我之前的博客内容。 访问靶机地址,打开java反序列化的 点进去后是如图的页面,随便输入一信息。 可以看到敏感信息直接显示在了页面上。 访问192.168.189.141:8080/cors1,可以看到…

揭秘律师界的“超能力”:AI如何让繁琐工作变轻松?

律师朋友们,你们是否也曾为文书堆成山、法规更新追不上、案例分析耗时久而头疼?我懂你们的痛,毕竟,咱也是从那个“人肉检索机”时代走过来的。不过,今天我要分享一个秘密武器——AI工具,它们真的能帮咱们把…

由浅入深的了解进程(3)

进程状态 进程状态1、Linux中的进程状态1、1、进程状态R和S1、2、进程状态T/t1、3、进程状态D1、4、进程状态X和Z 2、僵尸进程3、孤儿进程4、bash概括5、进程的阻塞,挂起和运行5、1、运行5、2、阻塞5、3、挂起 进程状态 任何一个进程都要有自己的代码和数据一样&am…

CSS雷达光波效果(前端雷达光波效果)

前言 CSS雷达光波效果是一种视觉动画效果,常用于模仿雷达扫描或检测的视觉反馈。这种效果通常涉及到动态的圆形或弧形图案,它们从一个中心点向外扩散,类似于水面上的涟漪或雷达扫描线。以下是创建CSS雷达光波效果的一些关键技术和步骤&#…

[C++] 容器适配器:深入理解Stack与Queue的底层原理

文章目录 容器适配器简介deque的缺陷为什么使用deque作为stack和queue的底层默认容器 stack和queue的简单讲解Stack(栈)栈的操作图示栈的相关接口 Queue(队列) Stack和Queue的模拟实现Stack(栈)作为容器适配…

新时代的北斗时空智能:助力节能减排,共筑低碳未来

随着全球环境问题日益加剧,节能减排和低碳生活成为了全世界共同的目标。中国作为一个崛起中的大国,在这一领域肩负着重要的责任。近年来,中国的北斗卫星导航系统(BDS)异军突起,其时空智能技术在多个方面特别…

盘点国内ERP系统,看看你都用过哪一款?

在数字化转型的浪潮中,企业资源计划(ERP)系统已成为企业提升管理效率、优化资源配置的关键工具。国内市场上,众多优秀的ERP软件产品如雨后春笋般涌现,为企业提供了丰富多样的选择。 本文将为您深度盘点10款国产高端ERP软件,重点围绕公司背景、专业实力、产品核心能力、解…

【机器学习】逻辑损失函数的基本概念和探索为什么平方误差损失函数不适用于逻辑回归以及探索逻辑损失函数

引言 在机器学习中,逻辑损失函数(Logistic Loss Function)是用于训练逻辑回归模型的一种损失函数。逻辑回归是一种广泛用于分类问题的模型,特别是用于二分类问题,它的目标是预测一个实例属于两个类别中的一个的概率 文…

经验模态分解 (EMD) 及其在信号降噪中的应用

引言 在信号处理领域,处理非线性和非平稳信号是一个重要的挑战。传统的信号处理方法,如傅里叶变换和小波变换,虽然在处理线性和稳态信号方面表现出色,但在面对复杂信号时往往力不从心。经验模态分解 (Empirical Mode Decompositi…

【IO】 对于fread,fwrite,time_t,fseek等操作,写日志文件,完成文件的拷贝,对bmp图像进行读写操作;

目录 1>写一个日志文件,将程序启动后,每一秒的时间写入到文件中 ​编辑 2>使用fread、fwrite完成两个文件的拷贝 3>实现对bmp图像的读写操作 1>写一个日志文件,将程序启动后,每一秒的时间写入到文件中 1、2024-7…

mysql排查锁等待

排查锁等待步骤 最近线上碰到了几次mysql锁等待的问题,一个事务线程长期占用锁资源,导致其他事务无法获取到锁,为了快速解决问题,我们把线程kill掉了,但后面就定位不到具体的问题了,这里我总结整理一下我的…