使用Chisel搭建Systolic Array

news2024/9/22 5:40:53

最近听到非常多人吹Chisel,为了方便快速做算法实现,就去尝试学了下,发现确实很香,有种相见恨晚的感觉。

今天是使用Chisel搭建个脉动阵列(Systolic Array, SA)[1],脉动阵列是神经网络中最基础也是最常用的组件之一。它主要是实现高效的矩阵乘法,而神经网络中到处都是矩阵乘法,从全连接层到卷积层(GEMM),都可以用矩阵乘法表示。UCB的Chipyard中专门集成了个脉动阵列的生成器Gemmini。

Gemmini考虑了很多的场景,比较复杂。本实现在参考Gemmini的基础上实现了个基础功能的用于全连接层的Weight-stationary的脉动阵列,即权重存储在PE内,只有输入激励在脉动阵列里传输。这样可以简化权重的加载。

SA是由许多个PE(Processing Element)组成的,每个PE执行的是乘加运算,然后将部分和的结果打拍后放入下一个PE,下一个PE将乘法结果与部分和相加得到新的部分和后传递到再下一个PE。最终在每列的最后一个PE得到总和结果,也就是一个神经元的输出。

Systolic Array(Gemmini中Tile)的架构

本实现中的PE采用的是Weight-Stationary架构,如下图所示。Weight存储在Register中,Weight与输入的Input Activation相乘的结果再与上方PE的部分和结果相加,再传入下一个PE,其中的MUX的目的是让部分和与权重共用一组总线,这样可以节省布线资源。在加载权重时,就不让部分和传递,而是让权重一层层传递下去。(注:这里没有将下方和右方的Register画出来)

PE Weight-Stationary架构

与Weight-Stationary相对的有Ouput-Stationary架构,就是每个PE中存储的是Output Activation,由于没有做实现,因此不展开叙述了。

首先实现PE,Chisel代码如下。这里默认读者有点Chisel基础,可以参考Chisel-book[2],并跑完Chisel Bootcamp[3]做入门学习。下面代码定义了5个输入输出,包括输入的激励inAct,传递到右边PE的输入激励的打拍结果outAct,权重和部分和Partial Sum共用的输入和输出线inWtPS和outWtPS,还有个inwtValid信号是告诉PE当前应该传递权重而不是部分和。这里将激励位宽与权重位宽作为了可配置的参数actWidth和weightWidth。

import chisel3._
import chisel3.util._ 
class PE(actWidth: Int = 16, weightWidth: Int = 16) extends Module{
    val io = IO(new Bundle{
        val inAct       = Input(SInt(actWidth.W))
        val inWtPS      = Input(SInt((actWidth+weightWidth).W))
        val outWtPS     = Output(SInt((actWidth+weightWidth).W))
        val outAct      = Output(SInt(actWidth.W)) // 向右传递
        val inwtValid   = Input((UInt(1.W))) 
    })
    val parSumWidth = actWidth + weightWidth;
    // 不要初始化,避免复位
    val weightReg   = Reg(SInt(weightWidth.W))
    val actReg      = Reg(SInt(actWidth.W))
    val parSumReg   = Reg(SInt(parSumWidth.W))
    actReg    := io.inAct;
    parSumReg := io.inWtPS + weightReg * actReg;
    io.outAct := actReg;
    // 会自动截低位,不用担心位宽,甚至还会在高位补符号位(有符号数)和0(无符号数)
    when(io.inwtValid === 1.U){
        weightReg   := io.inWtPS
        io.outWtPS  := weightReg
    } .otherwise {
        weightReg   := weightReg
        io.outWtPS  := parSumReg
    }
}

然后是实现Systolic Array,也就是例化多个PE,这里例化的个数由meshRows和meshColumns决定,分别表示Systolic Array的高度和宽度。例化时采用了fill函数,即List中有meshRows个元素,每个元素也是个List,含有meshColumns个PE,因此总PE个数是meshRows * meshColumns。

然后就是inwtValid线连接到每个PE上,这里使用了Scala的语法糖foreach(Scala推荐函数式编程,能不用for循环就不用for循环),在PEs.foreach(_.foreach(_.io.inwtValid := io.inwtValid)) 中,第一个foreach表示对每个PEs的元素(也是个List)做操作,操作仍然是_.foreach,因为List内每个元素仍然是个List,第二个foreach才是对单个PE做操作,指定inwtValid的连接。

import chisel3._
import chisel3.util._ 
class SystolicArray(actWidth: Int = 16, weightWidth: Int = 16,
                    meshRows: Int = 4, meshColumns: Int = 4) extends Module{
  val io = IO(new Bundle{
    val inAct     = Input(Vec(meshRows, SInt(actWidth.W)))
    val inWeight  = Input(Vec(meshColumns, SInt(weightWidth.W)))
    val outSum    = Output(Vec(meshColumns, SInt((actWidth+weightWidth).W)))
    val inwtValid = Input((UInt(1.W)))
  })
  val parSumWidth = actWidth + weightWidth; 
  // PEs(r)(c)表示第r行,第c列的PE,从0开始
  val PEs: Seq[Seq[PE]] = Seq.fill(meshRows, meshColumns)(Module(new PE(actWidth, weightWidth)))
  PEs.foreach(_.foreach(_.io.inwtValid := io.inwtValid));
  for(row <- 0 until meshRows){
    for(col <- 0 until meshColumns){
      // 第一行的输入连接到SA端口
      if(row == 0)  PEs(row)(col).io.inWtPS := io.inWeight(col)
      else          PEs(row)(col).io.inWtPS := PEs(row-1)(col).io.outWtPS
      // 最后一行的输出连接到模块外
      if(row == meshRows-1)   io.outSum(col) := PEs(row)(col).io.outWtPS  
      // 第一列
      if(col == 0)  PEs(row)(col).io.inAct := io.inAct(row)
      else          PEs(row)(col).io.inAct := PEs(row)(col-1).io.outAct
    }
  }
}

使用vivado综合生成出来,占用资源如下,每个PE占用一个DSP

参考

  1. ^论文 http://www.eecs.harvard.edu/~htk/publication/1982-kung-why-systolic-architecture.pdf
  2. ^Chisel-book https://github.com/schoeberl/chisel-book
  3. ^Chisel Bootcamp https://github.com/freechipsproject/chisel-bootcamp

link

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/188591.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

用递归玩转简单二叉树

前言&#xff1a; 数据结构学到二叉树&#xff0c;就进入到了有难度的部分了&#xff0c;但难度对应着重要性&#xff0c;其重要性也不言而喻了。这节我会介绍用C语言实现递归方法的二叉树的一些重要基本功能&#xff0c;在二叉树中又属于基础知识&#xff0c;有需要的各位必须…

下载CleanMyMac X有什么好处?最新版本有哪些新功能

CleanMyMac X 是一款先进的、集所有功能于一身的实用系统清理工具&#xff0c;它能帮助保持您的Mac保持清洁。只需两个简单的点击&#xff0c;就可以删除无用的文件&#xff0c;以节省您宝贵的磁盘空间。CleanMyMac X可以流畅地与系统性能相结合&#xff0c;清洁不需要的语言、…

EasyCVR新增角色分配分组功能的使用及注意事项

我们在此前的文章中分享过关于EasyCVR分组功能的更新&#xff0c;具体可以查看这篇文章&#xff1a;AI云边端EasyCVR平台新功能解析&#xff1a;支持为角色选择多级分组。今天我们来为大家介绍一下&#xff0c;新功能在配置时需要注意的事项。1、首先我们先简单回顾一下老版本的…

【Js】语法糖之数组解构和拆包表达式

文章目录数组结构拆包表达式来源数组结构 在ES5中&#xff1a;如果计划从数组中提取特定元素&#xff0c;就需使用元素的索引&#xff0c;并将其保存到变量之中。 在ES6中&#xff1a;新增数组解构功能&#xff0c;以简化获取数组中数据的过程。 数组解构采用了数组字面量的…

【SpringCloud复习巩固】Sentinel

sentinel 链接&#xff1a;https://pan.baidu.com/s/1lLJKBSDJNJgW5Lbru6NYrA 提取码&#xff1a;ut3g 目录 一.初识Sentinel 1.1雪崩问题及其解决方案 1.2认识Sentinel 1.3安装Sentinel控制台 1.4微服务整合sentinel 二.限流规则 2.1簇点链路 2.2流控规则 2.3流控效果…

从0~1实现 单体或微服务下 实现订单未支付超时取消功能 方案(2)-rocketmq 延迟队列方案 完整设计和源码

从0~1实现 单体或微服务下 订单未支付超时取消功能 方案&#xff08;1&#xff09;-java delayquene 注册中心(zookeeper/nacos)高可用方案从0~1实现 单体或微服务下 订单未支付超时取消功能 方案&#xff08;2&#xff09;-rocketmq 延迟队列方案 场景说明 我们日常接触的电…

IronPDF for .NET 2023.1 Crack

关于 .NET 的 IronPDF 创建、编辑和导出 PDF 文档。 IronPDF for .NET 允许开发人员在 C#、F# 和 VB.Net for .NET Core 和 .NET Framework 中轻松创建 PDF 文档。您可以选择简单的 HTML&#xff0c;或合并 CSS、图像和 JavaScript。IronPDF 呈现紧跟谷歌浏览器。 IronPDF 功能…

eclipse新手快捷键

1. ctrlshiftr&#xff1a;打开资源 这组Eclipse快捷键可以让你打开你的工作区中任何一个文件&#xff0c;而你只需要按下文件名或mask名中的前几个字母&#xff0c;比如applic*.xml。美中不足的是这组快捷键并非在所有视图下都能用。 2. ctrlo&#xff1a;快速outline 列出…

2023年深圳Java培训机构排名,不看后悔系列!

不忘初心&#xff0c;方得始终。2023&#xff0c;继续战斗&#xff01;想要学习Java的小伙伴们看过来~深圳Java培训机构排名最新排行榜来了&#xff01;靠谱的Java培训机构都在这里&#xff0c;总有一个你喜欢的&#xff0c;总能选出一个合适你的&#xff0c;快拿出小本本记下这…

【MyBatis】搭建MyBatis

1、MyBatis简介 1.1、MyBatis历史 MyBatis最初是Apache的一个开源项目iBatis, 2010年6月这个项目由Apache Software Foundation迁移到了Google Code。随着开发团队转投Google Code旗下&#xff0c; iBatis3.x正式更名为MyBatis。代码于2013年11月迁移到Github。iBatis一词来源…

2023年flash水坑攻击源码

1. 背景 在有授权的攻防演练中&#xff0c;很多时候都会用到水坑攻击或者钓鱼&#xff0c;目前flash钓鱼一直都在做&#xff0c;以前的版本中&#xff0c;提示flash版本过低是这样的&#xff1a; 下载界面是这样的&#xff08;下图来源于互联网&#xff09;&#xff1a; 但是目…

javascript封装一个单向链表

单向链表 单向链表类似于火车&#xff0c;有一个火车头&#xff0c;火车头会连接一个节点&#xff0c;节点上有乘客&#xff0c;并且这个节点会连接下一个节点&#xff0c;以此类推。 链表的火车结构 链表的数据结构 head 属性指向链表的第一个节点。 链表中的最后一个节点指…

2023年郑州Java培训机构排名新鲜出炉,快来看看都有哪些!

郑州是一个很有发展潜力又极具竞争力的城市&#xff0c;很多大厂现在都在往二线城市转移&#xff0c;其中郑州就是一个很好的选择。所以想要在郑州有好的发展前景&#xff0c;就要不断提高自己的技术竞争力&#xff0c;Java软件开发就成为了不少小伙伴们想要提升价值技能选择的…

Pytorch 基础

✅作者简介&#xff1a;人工智能专业本科在读&#xff0c;喜欢计算机与编程&#xff0c;写博客记录自己的学习历程。 &#x1f34e;个人主页&#xff1a;小嗷犬的个人主页 &#x1f34a;个人网站&#xff1a;小嗷犬的技术小站 &#x1f96d;个人信条&#xff1a;为天地立心&…

【职工管理系统】C++全栈体系(十五)

职工管理系统 第十一章 添加职工 功能描述&#xff1a;按照职工的编号进行删除职工操作 一、删除职工函数声明 在workerManager.h中添加成员函数 void Del_Emp(); //删除职工void Del_Emp();二、职工是否存在函数声明 很多功能都需要用到根据职工是否存在来进行操作如&…

基于Android的综合物流系统

需求信息&#xff1a; &#xff08;1&#xff09;后台管理平台 设计实现物流管理平台&#xff0c;完成对司机、所载货物、出发点、目的地、运输轨迹等的管理。主要研究的内容为前后端框架的选择&#xff0c;对系统信息的展示与管理。 数据展示&#xff1a;管理人员通过不同的筛…

Redis持久化 | 黑马Redis高级篇

目录 RDB持久化 1、介绍 2、命令 3、配置 4、bgsave的fork底层原理 5、总结 AOF持久化 1、介绍 2、开启 3、三种写回策略 4、AOF后台重写 混合持久化 总结 Redisd的持久化有两种方式&#xff0c;分别是RDB和AOF RDB持久化 1、介绍 RDB&#xff0c;Redis数据备份…

软件测试项目实战【不爱听书】测试全套教程以及源码

前言 软件测试流程&#xff1a;需求分析—>测试计划—>测试设计—>测试执行—>测试报告 一、需求分析 “不爱听书”是一个为用户提供创作音乐和收听音频的平台。对于该项目的需求分析&#xff0c;提炼出相关测试点。 基本功能需求 用户可以进行注册、登录与退…

使用PyTorch构建GAN生成对抗网络源码(详细步骤讲解+注释版)02 人脸识别 下

文章目录1 测试鉴别器2 建立生成器3 测试生成器4 训练生成器5 使用生成器6 内存查看上一节&#xff0c;我们已经建立好了模型所必需的鉴别器类与Dataset类。使用PyTorch构建GAN生成对抗网络源码&#xff08;详细步骤讲解注释版&#xff09;02 人脸识别 上接下来&#xff0c;我们…

Source Insight基本使用

据说阅读Linux源码经常使用此工具&#xff1b;先看一下基本使用&#xff1b; 新建一个工程&#xff1b; OK以后出现下图&#xff1b;这是insight项目的目录&#xff1b; 把要阅读的源码工程加进来&#xff1b; 如下2个选项选中&#xff0c;OK&#xff1b; 如果下图右侧的内容没…