STM32定时器输出pwm的几种模式

news2024/10/5 9:37:30

目录

定时器

输出脉冲模式:

PWM模式(PWM Mode)

输出比较模式(Output Compare Mode)

总结

占空比:

输出比较模式与占空比

PWM模式与占空比

输出比较模式与PWM模式的结合

输出比较模式实例:

问题记录:

参考:

定时器

定时器分类:

高级定时器

通用定时器

基本定时器

三种定时器都可以输出pwm,但是常用高级和通用定时器,功能更多。

输出脉冲模式:

常用的四种输出脉冲模式:

1.定时器中断里面设定电平高低进行脉冲输出,简单的翻转。

2.PWM1

3.PWM2

4.输出比较模式(也是一种翻转)

第一种很少用。

pwm1和pwm2的区别还没有搞懂。

PWM模式(PWM Mode)

PWM模式是定时器模块的一种重要功能,用于生成周期性变化的脉冲信号。STM32的定时器模块通常支持两种PWM模式:PWM1和PWM2。

  • PWM1模式:在PWM周期内,当定时器的计数值小于比较值时,输出为高电平;当计数值大于比较值时,输出为低电平。
  • PWM2模式:与PWM1相反,在PWM周期内,当定时器的计数值小于比较值时,输出为低电平;当计数值大于比较值时,输出为高电平。

优点:

  1. 高效生成连续波形:硬件生成周期性PWM信号,不需要CPU干预,适用于需要连续波形输出的场景。
  2. 灵活控制占空比:通过改变比较值可以灵活控制PWM信号的占空比,适用于调光、调速等应用。
  3. 多用途:广泛应用于电机控制、LED调光、音频信号生成等领域。

缺点:

  1. 配置相对复杂:需要正确设置定时器的频率、周期和比较值,初次使用可能需要花时间理解。
  2. 频率和分辨率限制:PWM信号的频率和分辨率受限于定时器的硬件规格和时钟源,可能无法满足所有应用需求。

用途: PWM广泛用于电机控制、模拟信号生成、电源管理等领域,需要精确控制输出功率或电压

输出比较模式(Output Compare Mode)

输出比较模式是STM32定时器的一种功能,可以用来生成精确的时间事件。当定时器计数器的值与预设的比较值匹配时,定时器可以输出一个信号,改变输出引脚的状态(例如设为高电平、低电平或翻转)。STM32定时器的输出比较模式通常包括:

  1. 冻结(Frozen)模式
  2. 主动电平设置(Set Active Level on Match)
  3. 电平复位(Set Inactive Level on Match)
  4. 翻转(Toggle)模式
  5. 强制高电平(Force Active Level)
  6. 强制低电平(Force Inactive Level)

优点:

  1. 精确控制:可以精确控制输出引脚的状态变化时刻,适用于时间敏感的应用。
  2. 多种模式:提供多种输出模式,灵活应对不同的应用需求。
  3. 低CPU开销:通过硬件实现,不占用CPU资源,适合实时性要求高的应用。

缺点:

  1. 配置复杂:需要理解并正确配置定时器和相关寄存器。
  2. 功能局限:主要用于生成单次或周期性的事件,对连续波形生成支持不如PWM。

     
    总结

    在STM32的定时器模块中,输出比较模式和PWM模式各有其特定的应用场景和优缺点。

    输出比较模式适用于需要精确控制输出引脚状态变化时刻的应用,如生成定时事件、测量时间间隔等。

    PWM模式适用于需要生成连续周期性波形的应用,如电机控制、LED调光、音频信号生成等。

    如果需要精确控制单次或周期性的时间事件,选择输出比较模式。
    如果需要生成连续的PWM信号,并灵活控制占空比,选择PWM模式(PWM1或PWM2)。

占空比:

在STM32的定时器模块中,输出比较模式和PWM模式是紧密相关的,尤其在PWM模式下,占空比的控制是核心功能。然而,严格来说,标准的输出比较模式本身并不是为控制占空比设计的。让我们深入探讨这个问题。

输出比较模式与占空比

输出比较模式本质上是通过比较定时器的计数值和预设的比较值(CCRx寄存器)来触发特定的行为,例如改变输出引脚状态。例如上文中的6种模式,尽管这些模式中部分可以改变输出引脚的状态,但它们并不是专门设计来控制占空比的。而占空比控制是PWM模式的核心功能。

PWM模式与占空比

PWM模式专门设计用于生成占空比可调的周期性信号。在PWM模式下,定时器的计数值在一个周期内连续计数(从0到预设的ARR值)。通过设置比较值(CCRx),可以定义在一个周期内高电平和低电平的时间,从而实现占空比的控制。

配置方法

  1. 设置周期:通过设置自动重装载寄存器(ARR)的值确定PWM信号的周期。
  2. 设置占空比:通过设置捕获/比较寄存器(CCRx)的值确定PWM信号的占空比。

例如,在PWM1模式下,当计数器值小于CCRx时,输出为高电平;当计数器值大于CCRx时,输出为低电平。这样,通过调整CCRx的值可以灵活控制占空比。

输出比较模式与PWM模式的结合

在一些特定的应用场景中,可以利用输出比较模式和PWM模式的结合来实现更复杂的占空比控制。例如,通过配置多个输出比较通道,可以在一个周期内多次改变输出引脚的状态,从而实现非标准的PWM信号。

结论

  • 输出比较模式:主要用于生成精确的定时事件或单次/周期性引脚状态变化,不适合直接控制占空比。
  • PWM模式:专门用于生成占空比可调的周期性信号,适合控制电机速度、LED亮度等。

如果您的应用需要控制占空比,建议使用PWM模式(PWM1或PWM2)。输出比较模式适合用于需要精确时序控制的其他场合,但不适合用于生成占空比可调的信号。

输出比较模式实例:

//输出引脚配置
static void PWM_TIM_GPIO_Config(void) 
{
    GPIO_InitTypeDef GPIO_InitStructure; 
  	RCC_APB2PeriphClockCmd(MOTOR_PUL_GPIO_CLK, ENABLE);
    GPIO_InitStructure.GPIO_Pin = MOTOR_PUL_PIN;// 
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP ;//GPIO_Mode_AF_PP;
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(MOTOR_PUL_GPIO_PORT, &GPIO_InitStructure);

}

//中断配置
static void PWM_TIM_NVIC_Config(void)
{
    NVIC_InitTypeDef NVIC_InitStructure;     
    NVIC_PriorityGroupConfig(NVIC_PriorityGroup_0);		
    NVIC_InitStructure.NVIC_IRQChannel = PWM_TIM_CC_IRQn ;	
    NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0;	 
    NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;	
    NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;
    NVIC_Init(&NVIC_InitStructure);
}

     //对定时器配置成输出比较
void PWM_TIM_Mode_Config(void)
{   
     TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStruct;  //时间基 结构体变量
     TIM_OCInitTypeDef TIM_OCInitStruct;             //通道初始化 结构体变量   
 
     PWM_TIM_APBxClockCmd(PWM_TIM_CLK,ENABLE); //使能TIM1定时器时钟线
     //时间基设定参数
     TIM_TimeBaseInitStruct.TIM_ClockDivision = TIM_CKD_DIV1;  //这里跟TIM1 产生PWM波功能无关
     TIM_TimeBaseInitStruct.TIM_CounterMode = TIM_CounterMode_Up; //向上计时模式
     //不知道为什么这里要设成65535才行,不是说比较输出模式和TIM_Period没关系吗
     TIM_TimeBaseInitStruct.TIM_Period = 0XFFFF - 1;  //0xFFFF  - 1 
     TIM_TimeBaseInitStruct.TIM_Prescaler = PWM_TIM_Prescaler;  //最高频率72MHZ  这里定义 预分频720 
     TIM_TimeBaseInitStruct.TIM_RepetitionCounter =   0;                                                                                            
     TIM_TimeBaseInit(PWM_TIM,&TIM_TimeBaseInitStruct);//初始化函数 让刚刚配置的参数 输入到对应寄存器里面
 
     //pwm输出模式设定参数
     TIM_OCInitStruct.TIM_OCMode = TIM_OCMode_Toggle; //比较输出模式
     TIM_OCInitStruct.TIM_OCPolarity = TIM_OCPolarity_Low;//输出极性低
     TIM_OCInitStruct.TIM_OutputState = TIM_OutputState_Enable; //CER的CC1N,输入/捕获输出使能
     TIM_OCInitStruct.TIM_Pulse = 0;  //初始化占空比0   占空比可以依照TIM_Period进行配置 在它范围内就好了
     TIM_OC4Init(PWM_TIM,&TIM_OCInitStruct); //初始化函数 让刚刚配置的参数 输入到对应寄存器里面

     TIM_ITConfig(PWM_TIM, PWM_TIM_IT_CC, ENABLE);//使能通道4中断   
     TIM_OC4PreloadConfig(PWM_TIM,TIM_OCPreload_Disable);//输出比较时,要禁能 
     //TIM_ARRPreloadConfig(TIM1,ENABLE);//没搞懂影响
     TIM_ClearITPendingBit(PWM_TIM, TIM_IT_CC4 ); //清除中断标志
     //TIM_SetCompare4(TIM1, temp_data_06); //放在这里会影响第一个脉冲的宽度,不对

}

TIM_OC4PreloadConfig(PWM_TIM,TIM_OCPreload_Disable);//输出比较时,要禁能,因为禁能时,可以随时更改ccr的值;使能时,只有计数到arr的设定值时,才可以修改ccr的值,这样可以让脉冲更稳定,

//中断处理部分
void PWM_TIM_IRQHandler(void) 
{	
    if(TIM_GetITStatus(PWM_TIM, TIM_IT_CC4) != RESET){
        // 清楚定时器中断
	    TIM_ClearITPendingBit(PWM_TIM, TIM_IT_CC4 );//不知道放在中断开头还是结尾好        
        capture = TIM_GetCapture4(PWM_TIM);//一定要先读取计数值,
        TIM_SetCompare4(PWM_TIM, capture + temp_data_06);//在计数值基础上加上时间,等待计数值到达这个数
    }
}

一定要先读取计数值,想加后,再使用TIM_SetCompare4设定比较值

//初始化pwm用到的定时器,脉冲引脚
void motor_pwm_Init(void)
{
    PWM_TIM_NVIC_Config();
    PWM_TIM_GPIO_Config();	
	PWM_TIM_Mode_Config();	
}

//使用的时候,进行使能和禁能即可输出和关闭脉冲
TIM_Cmd(PWM_TIM,ENABLE); //使能计时器
TIM_CtrlPWMOutputs(PWM_TIM,ENABLE); //输出脉冲使能

设成0,定时器会被关闭,不输出脉冲。

关于到达TIM_Period设定值,会如何处理:
1. 只有对TIM_IT_Update中断使能,到达arr的值才会进入中断,因此在时候不会进入中断

2.会触发一个事件,然后对相关寄存器进行刷新,相关计数值也会刷新,因此ccr和tim->cnt的比较咩有影响。具体过程如下图

问题记录:

设置的是200us的宽度,不知道为什么第一个宽度会是400,

arr设置的是65535-1,不知道为什么,每次初始化完,在第一个脉冲前都会出现这么一段,把arr的值设小了,这一段也会变短。

参考:

STM32定时器之ARR,PSC俩兄弟

【经验分享】STM32实例-步进电机的速度控制 - STM32团队 ST意法半导体中文论坛

STM32 定时器输出比较翻转模式_stm32f4定时器输出比较翻转模式驱动代码-CSDN博客

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1813693.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

C++ Primer Plus第五版笔记(p201-250)

第六章 函数(下) 在含有return语句的循环后面应该也有一条return语句 不要返回局部对象的引用或指针,当函数结束时临时对象占用的空间也就随之释放掉了,所以两条return语句都指向了不再可用的内存空间。 如果函数返回指针、引用…

甲板上的战舰|模拟?|每日一题|chatgpt结合更正

文章目录 我的天免费的4o太好用了我的天免费的4o太好用了我的天免费的4o太好用了题目详情思路:关键:chatGPT配合纠正错误思路正确代码: 我的天免费的4o太好用了 我的天免费的4o太好用了 我的天免费的4o太好用了 重要的事情说三遍 题目详情…

螺栓拧紧力矩标准

据德国VOITH提供Nm换算所得 螺栓扭矩表 (单位:Nm、Kgm) Nm0.101972mKg M6~M24螺钉或螺母的拧紧力矩操作者参考 ★对于设计图纸有明确力矩要求的;应按图纸要求执行… 套管螺母紧固力矩Q/STB B07833-1998 材料 HPb63-3Y2 直通式压注油杯 Q/STB B07020-1998螺纹M6、…

CD工具AWX之作业模板与工作流模板

一、概要 本文以php和h5项目为示例,讲述从初始化项目到更新发布的实现详情。 它们跟java/go等进程程序不同,只需要下发.php等静态文件即可,不涉及进程的重启。 对于php项目,除了php文件外,还包括.env配置文件。&…

【C++】STL空间配置器

STL空间配置器 一、什么是空间配置器二、为什么需要空间配置器三、SGI-STL空间配置器实现原理1、 一级空间配置器2、二级空间配置器 四、优缺点分析 一、什么是空间配置器 STL 有六大组件分别是:容器,算法,迭代器, 空间配置器&am…

【最新鸿蒙应用开发】——类Web开发范式2——前端语法

兼容JS的类Web开发范式 JS FA应用的JS模块(entry/src/main/js/module)的典型开发目录结构如下: 1. 项目基本结构 1.1. 目录结构 1.2. 项目文件分类如下: .hml结尾的HML模板文件,这个文件用来描述当前页面的文件布局结构。 .css结尾的CSS样…

Wall国内开源程序照片墙,支持VR全景及安装教程

下载 GitHub官网:https://github.com/zhang-tong-yao/wall 软件下载:https://github.com/zhang-tong-yao/wall/releases,推荐下载最新的版本。 演示效果 目前支持PC端和移动端自适应。 演示地址:https://demo-wall.ityao.cn …

芯片键合电阻器

引线键合电阻器(绑定电阻器)超稳定,可靠性高。激光修整至严格公差。可自定义的值和该值的唯一标记。该器件非常适合但不限于混合电路应用。与二极管芯片,MOS芯片,IGBT芯片键合封装。 芯片键合电阻器优势和特点 顶部触…

探索AOSP中的RRO:运行时资源覆盖的奥秘

探索AOSP中的RRO:运行时资源覆盖的奥秘 在Android开发中,为了提供更大的灵活性和可定制性,Android提供了一种关键特性:运行时资源覆盖(Runtime Resource Overlay,简称RRO)。本文将深入探讨RRO在Android开源项目(AOSP)中的作用及其实现方法。 什么是运行时资源覆盖(…

Vue19-key的原理

一、v-for中key的作用 给节点进行一个标识&#xff0c;类似于身份证号。 1-1、需求1&#xff1a; 点击按钮&#xff0c;在<li>的最前面添加一个老刘的信息 <body><div id"root"><h1>人员信息</h1><button click.once"add&qu…

A股上市公司长短期并购绩效CAR、BHAR数据(2008-2022年)

数据简介&#xff1a; 短期并购绩效以首次公告日前后5个交易日内持有并购方股票的累计超额回报率[CAR(-5,5)]作为短期并购绩效的衡量指标。为计算并购方的累计超额回报率&#xff0c;定义首次公告日前的150个交易日至首次公告日前的30个交易日为估计窗口期&#xff0c;以窗口期…

今日成果2024-6-7 TrustZone TEE安全SDK开发指南

Rockchip Vendor Storage Application Note.pdf OK 开机下&#xff0c;可以实现Vendor Storage的读写。 0ms时同步RTC时间 OK Rockchip_Developer_Guide_TEE_SDK_CN.pdf 什么是TrustZone 此系统方法意味着可以保护安全内存、加密块、键盘和屏幕等外设&#xff0c;从而可确…

c++中string用法详解

目录 二、案例需求 三、案例实现 1.首先获取strData中的角色数量 2.创造结构体数组&#xff0c;定义两个索引值 3.循环遍历对结构体User中的Id和Exp进行赋值 4.对结构体数组userArr进行排序 5.展示结果以及最终代码 ​四、最后 一、前言 在C中&#xff0c;std::string …

可视化剪辑,账号矩阵管理,视频分发,聚合私信多功能一体化营销工具 源代码开发部署方案

可视化剪辑&#xff0c;账号矩阵管理&#xff0c;视频分发&#xff0c;聚合私信多功能一体化营销工具 源代码开发部署方案 可视化剪辑&#xff1a; 可视化剪辑开发是一种通过图形化界面和拖放操作&#xff0c;以可视化的方式进行影片剪辑和编辑的开发方法。它可以让非专业用户…

什么是校园抄表系统?

1.校园抄表系统的简述 校园抄表系统是当代高校管理中的一个重要组成部分&#xff0c;主要运用于全自动搜集、管理方法与分析校园里的电力能源使用数据&#xff0c;如水电煤等。它通过先进的方式方法&#xff0c;完成了对能源消耗的实时监控系统&#xff0c;提升了电力能源管理…

maven基本操作和配置(idea版基础版)

写在前面&#xff1a;为一位朋友写的一个博客&#xff0c;有需要都可以查看&#xff01; 一、maven是什么&#xff1f; 一句话&#xff1a;管理依赖工具&#xff0c;统一项目结构便于开发&#xff0c;把项目开发和管理的过程抽象成对象模型来管理&#xff08;pom模型&#xf…

@JsonValue和@JsonCreator使用

当实体类中的属性为枚举类型时&#xff0c;将其序列化成json字符串传给前端&#xff0c;传递的应该是有效的值而不是枚举常量。 1. Get-Started Data public class Student {private Long id;private String userName;private String telephone;private String email;private …

C语言 | Leetcode C语言题解之第145题二叉树的后序遍历

题目&#xff1a; 题解&#xff1a; void addPath(int *vec, int *vecSize, struct TreeNode *node) {int count 0;while (node ! NULL) {count;vec[(*vecSize)] node->val;node node->right;}for (int i (*vecSize) - count, j (*vecSize) - 1; i < j; i, --j)…

【C语言】12.C语言内存函数

文章目录 1.memcpy使用和模拟实现2.memmove使用和模拟实现3.memset函数的使用4.memcmp函数的使用 memcpy&#xff1a;内存拷贝 memmove&#xff1a;内存移动 memset&#xff1a;内存设置 memcmp&#xff1a;内存比较 1.memcpy使用和模拟实现 memcpy&#xff1a;内存拷贝 void…

【python】OpenCV—Background Estimation(15)

文章目录 中值滤波中值滤波得到图像背景移动侦测 学习来自 OpenCV基础&#xff08;14&#xff09;OpenCV在视频中的简单背景估计 中值滤波 中值滤波是一种非线性平滑技术&#xff0c;主要用于数字信号处理&#xff0c;特别是在图像处理中去除噪声。 一、定义与原理 定义&am…