TAS5711带EQ和DRC支持2.1声道的20W立体声8V-26V数字输入开环D类数字功放音频放大器

news2024/10/7 15:20:37

前言


数字功放很难搞,寄存器很多,要配置正确才有声音,要想声音好,要好好调整。

TAS5711出道很多年了,现在仍然在不少功放、音箱中能看到。

TAS5711特征

音频输入/输出

从 18V 电源向 8Q 负载提供 20W 功率
宽 PVDD 范围:8V 至 26V
高效的 D 类操作,无需散热器
一个串行音频输入(两个音频通道)
2.1 模式 (2 SE+ 1 BTL)
2.0 模式 (2 BTL)
支持单滤波器PBTL模式
I2C地址选择引脚(芯片选择)
支持 8kHz 至 48kHz 采样率(LJ/RJ/I2S)

音频/PWM处理

具有 24dB 静音功能的独立通道音量控制
卫星和子频道的独立动态范围控制
21 个可编程二阶,用于扬声器均衡器和其他音频处理功能
DRC滤波器的可编程系数
直流阻断滤波器
支持3D效果

一般特征

串行控制接口操作无需MCLK
工厂定制的内部振荡器,用于自动速率检测
表面贴装型,48 引脚,7mm x 7mm HTQFP封装
热保护和短路保护
支持 AD 或 BD 模式


特点

- 效率高达 90%
AD 和 BD 滤波器模式支持
信噪比:106 dB,A加权
EQ:扬声器均衡可提高音频性能
DRC:动态范围压缩。可用作功率限制器。启用扬声器保护,轻松聆听,夜间模式聆听。
卫星频道和子频道的独立 DRC
自动切换:不同采样率的预加载系数。当采样率发生变化时,无需向零件写入新的系数。
自动检测:自动检测采样率变化。无需外部微处理器干预
仅需 3.3 V 和 PVDD(PVDD)

应用

电视
iPodTM 基座
条形音箱

描述

TAS5711是一款 20 W、高效的数字音频功率放大器,用于驱动立体声桥接式扬声器。一个串行数据输入允许处理多达两个离散音频通道,并与大多数数字音频处理器和 MPEG 解码器无缝集成。
该器件接受各种输入数据和数据速率。完全可编程的数据路径将这些通道路由到内部扬声器驱动器。
TAS5711 是一个 I2S 纯从设备,接收来自外部源的所有时钟。该TAS5711采用开关速率介于 384kHz 和 352kHz 开关速率之间的 PWM 载波运行,具体取决于输入采样速率。过采样与四阶噪声整形器相结合,可提供平坦的本底噪声和 20 Hz 至 20 kHz 的出色动态范围。

原理示意图

内部框图1

内部框图2

音效处理框图

引脚图

引脚功能

引脚类型5-V耐受上下拉(2)描述
名称管脚号
AGND30P  功率级模拟接地
A_SEL14DIO  值为 0(15kΩ 下拉)使 I2C 器件地址0x34,值为 1(15kΩ 上拉)使其0x36。该引脚可在RESET之后通过将I2C寄存器0x05的1写入位0来编程为输出。在该模式下,A_SEL引脚被重新定义为 FAULT(有关详细信息,请参阅 ERROR REPORTING)。
AVDD13P  3.3V 模拟电源
AVSS9P  模拟 3.3V 电源接地
BST_A4P  半桥A的高侧自举电源
BST_B43P  半桥B的高侧自举电源
BST_C42P  半桥C的高侧自举电源
BST_D33P  半桥D的高侧自举电源
DVDD27P  3.3V 数字电源
DVSSO17P  振荡器接地
DVSS28P  数字接地
GND29P  功率级模拟接地
GVDD_OUT5, 32P  栅极驱动内部稳压器输出。此引脚不得用于驱动外部设备。
LRCLK20DI5-V下拉输入串行音频数据左/右时钟(采样率时钟)
MCLK15DI5-V下拉主时钟输入
OC_ADJ7AO  模拟过流编程。需要电阻器接地。
OSC_RES16AO  振荡器微调电阻器。将 18.2kΩ 1% 电阻连接到 DVSSO。
OUT_A1O  输出,半桥A
OUT_B46O  输出,半桥 B
OUT_C39O  输出,半桥C
OUT_D36O  输出,半桥D
PBTL8DI  低电平表示BTL或SE模式;高表示 PBTL 模式。信息直接进入功率级。
PDN19DI5-V上拉关断,/低电平有效。PDN通过关闭噪声整形器并启动PWM停止序列,为器件的电源丢失做好准备。
PGND_AB47, 48P  半桥 A 和 B 的电源接地
PGND_CD37, 38P  半桥 C 和 D 的电源接地
PLL_FLTM10AO  PLL负环滤波端子
PLL_FLTP11AO  PLL正环滤波端子
PVDD_A2, 3P  半桥输出A的电源输入
PVDD_B44, 45P  半桥输出B的电源输入
PVDD_C40, 41P  半桥输出C的电源输入
PVDD_D34, 35P  半桥输出D的电源输入
RESET25DI5-V上拉复位,/低电平有效。通过向该引脚施加逻辑低电平来生成系统复位。RESET是一种异步控制信号,可将DAP恢复到默认状态,并将PWM置于硬静音状态(三态)。
SCL24DI5-V I2C 串行控制时钟输入
SCLK21DI5-V下拉串行音频数据时钟(移位时钟)。SCLK是串行音频端口输入数据位时钟。
SDA23DIO5-V I2C 串行控制数据接口输入/输出
SDIN22DI5-V下拉串行音频数据输入。SDIN支持三种离散(立体声)数据格式。
SSTIMER6AI  控制 OUT_x 的斜坡时间以最大限度地减少爆裂声。让此引脚在 BD 模式下保持浮动状态。在AD模式下,需要2.2 nF至GND的电容。电容器决定斜坡时间。
STEST26DI  工厂测试引脚。直接连接到 DVSS。
VR_ANA12P  内部稳压 1.8V 模拟电源电压。此引脚不得用于为外部设备供电。
VR_DIG18P  内部稳压 1.8V 数字电源电压。此引脚不得用于为外部设备供电。
VREG31P  数字稳压器输出。不用于为外部电路供电。

TAS5711寄存器

黄色为重要寄存器,需要设置

子地址寄存器名字节数内容初始化值
   A u indicates unused bits. 
0x00时钟控制寄存器1说明显示在后续部分0x6C
0x01器件 ID 寄存器1Description shown in subsequent section0x70
0x02错误状态寄存器1Description shown in subsequent section0x00
0x03系统控制寄存器11Description shown in subsequent section0xA0
0x04串行数据接口寄存器1Description shown in subsequent section0x05
0x05系统控制寄存器21Description shown in subsequent section0x40
0x06软静音寄存器1Description shown in subsequent section0x00
0x07主音量1Description shown in subsequent section0xFF (mute)
0x08通道 1 音量1Description shown in subsequent section0x30 (0 dB)
0x09通道 2 音量1Description shown in subsequent section0x30 (0 dB)
0x0A通道 3 音量1Description shown in subsequent section0x30 (0 dB)
0x0B - 0x0D 1保留(1) 
0x0E音量配置寄存器1Description shown in subsequent section0x91
0x0F 1保留(1) 
0x10调制限位寄存器1Description shown in subsequent section0x02
0x11IC延迟通道11Description shown in subsequent section0xAC
0x12IC延迟通道 21Description shown in subsequent section0x54
0x13IC延迟通道 31Description shown in subsequent section0xAC
0x14IC延迟通道 41Description shown in subsequent section0x54
0x15-0x18 1保留(1) 
0x19PWM通道关断组寄存器1Description shown in subsequent section0x30
0x1A开始/停止周期寄存器1 0x0F
0x1B振荡器微调寄存器1 0x82
0x1CBKND_ERR register1 0x02
0x1D–0x1F 1保留(1) 
0x20输入多路复用器寄存器4Description shown in subsequent section0x0001 7772
0x21Ch 4 源选择寄存器4Description shown in subsequent section0x0000 4303
0x22 -0x24 4保留(1) 
0x25PWM多路复用器寄存器4Description shown in subsequent section0x0102 1345
0x26-0x28 4保留(1) 
0x29ch1_bq[0]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x2A
ch1_bq[1]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x2Bch1_bq[2]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x2Cch1_bq[3]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x2Dch1_bq[4]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x2Ech1_bq[5]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x2Fch1_bq[6]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x30ch2_bq[0]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x31ch2_bq[1]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x32ch2_bq[2]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x33ch2_bq[3]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x34ch2_bq[4]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x35ch2_bq[5]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x36ch2_bq[6]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x37 - 0x39 4保留(2) 
0x3ADRC1 ae(3)8u[31:26], ae[25:0]0x0080 0000
DRC1 (1 – ae)u[31:26], (1 – ae)[25:0]0x0000 0000
0x3BDRC1 aa8u[31:26], aa[25:0]0x0080 0000
DRC1 (1 – aa)u[31:26], (1 – aa)[25:0]0x0000 0000
0x3CDRC1 ad8u[31:26], ad[25:0]0x0080 0000
DRC1 (1 – ad)u[31:26], (1 – ad)[25:0]0x0000 0000
0x3DDRC2 ae8u[31:26], ae[25:0]0x0080 0000
DRC 2 (1 – ae)u[31:26], (1 – ae)[25:0]0x0000 0000
0x3EDRC2 aa8u[31:26], aa[25:0]0x0080 0000
DRC2 (1 – aa)u[31:26], (1 – aa)[25:0]0x0000 0000
0x3FDRC2 ad8u[31:26], ad[25:0]0x0080 0000
DRC2 (1 – ad)u[31:26], (1 – ad)[25:0]0x0000 0000
0x40DRC1-T4T1[31:0] (9.23 format)0xFDA2 1490
0x41DRC1-K4u[31:26], K1[25:0]0x0384 2109
0x42DRC1-O4u[31:26], O1[25:0]0x0008 4210
0x43DRC2-T4T2[31:0] (9.23 format)0xFDA2 1490
0x44DRC2-K4u[31:26], K2[25:0]0x0384 2109
0x45DRC2-O4u[31:26], O2[25:0]0x0008 4210
0x46DRC control4Description shown in subsequent section0x0000 0000
0x47–0x4F 4保留(2) 
0x50BANK开关控制4Description shown in subsequent section0x0F70 8000
0x51Ch 1输出混音器12Ch 1 output mix1[2]0x0080 0000
Ch 1 output mix1[1]0x0000 0000
Ch 1 output mix1[0]0x0000 0000
0x52Ch 2输出混音器12Ch 2 output mix2[2]0x0080 0000
Ch 2 output mix2[1]0x0000 0000
Ch 2 output mix2[0]0x0000 0000
0x53Ch 1输入混音器16Ch 1 input mixer[3]0x0080 0000
Ch 1 input mixer[2]0x0000 0000
Ch 1 input mixer[1]0x0000 0000
Ch 1 input mixer[0]0x0080 0000
0x54Ch 2输入混音器16Ch 2 input mixer[3]0x0080 0000
Ch 2 input mixer[2]0x0000 0000
Ch 2 input mixer[1]0x0000 0000
Ch 2 input mixer[0]0x0080 0000
0x55Channel 3输入混音器12Channel 3 input mixer [2]0x0080 0000
Channel 3 input mixer [1]0x0000 0000
Channel 3 input mixer [0]0x0000 0000
0x56Output post-scale4u[31:26], post[25:0]0x0080 0000
0x57Output pre-scale4u[31:26], pre[25:0] (9.17 format)0x0002 0000
0x58ch1 BQ[7]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x59ch1 BQ[8]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x5ASubchannel BQ[0]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x5BSubchannel BQ[1]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x5Cch2 BQ[7]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x5Dch2 BQ[8]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x5Epseudo_ch2 BQ[0]20u[31:26], b0[25:0]0x0080 0000
u[31:26], b1[25:0]0x0000 0000
u[31:26], b2[25:0]0x0000 0000
u[31:26], a1[25:0]0x0000 0000
u[31:26], a2[25:0]0x0000 0000
0x5F 4保留(4) 
0x60Channel 4 (subchannel) 输出混音器8Ch 4 output mixer[1]0x0000 0000
Ch 4 output mixer[0]0x0080 0000
0x61Channel 4 (subchannel) 输入混音器8Ch 4 input mixer[1]0x0040 0000
Ch 4 input mixer[0]0x0040 0000
0x62IDF post scale4Post-IDF attenuation register0x0000 0080
0x63–0xF7  保留(4)0x0000 0000
0xF8设备地址使能寄存器4Write F9 A5 A5 A5 in this register to enable write to device address update (0xF9)0x0000 0000
0xF9设备地址 更新寄存器4u[31:8], New Dev Id[7:1] , ZERO[0] (New Dev Id
(7:1) defines the new device address
0X0000 0036
0xFB–0xFF 4保留(4)0x0000 0000

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1690252.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Plesk面板中如何导出的MS SQL server数据库

需要导出我的SQL Server 的数据库文件,由于我使用的Hostease的Windows虚拟主机产品默认带普通用户权限的Plesk面板,但是不知道如何在Plesk上操作导出,因为也是对于Hostease主机产品不是很了解,因此联系Hostease的咨询了Hostease技…

[论文笔记]Chain-of-Thought Prompting Elicits Reasoning in Large Language Models

引言 今天带来思维链论文 Chain-of-Thought Prompting Elicits Reasoning in Large Language Models的笔记。 作者探索了如何通过生成一系列中间推理步骤的思维链,显著提升大型语言模型在进行复杂推理时的能力。 1 总体介绍 语言模型的规模扩大已被证明能够带来…

redis--告警处理设置密码连接

解决当前告警提示 告警一 backlog参数控制的是三次握手的时候server端收到client ack确认号之后的队列值,即全连接队列 vim /etc/sysctl.conf net.core.somaxconn 1024sysctl -p 告警二 内核参数 0、表示内核将检查是否有足够的可用内存供应用进程使用&#xff1…

第八节 条件装配案例讲解

一、条件装配的作用是什么 条件装配是 Spring 框架中一个强大的特性&#xff0c;使得开发者能够创建更加灵活和可维护的应用程序。在 Spring Boot 中&#xff0c;这个特性被大量用于自动配置&#xff0c;极大地简化了基于 Spring 的应用开发。 二、条件装配注解 <dependen…

STM32_HAL_FLASH 模拟 EEPROM

1. STM32 FLASH简介 STM32F407ZGT6 的 FLASH 容量为1024K 字节&#xff0c; STM32F40xx/41xx 的闪存模块组织如图 STM32F4 的闪存模块由主存储器、系统存储器、 OPT 区域和选项字节等 4 部分组成。 主存储器&#xff0c;该部分用来存放代码和数据常数&#xff08;如 const 类型…

macOS平台安装PostgreSQL的五种方法

macOS 平台安装 PostgreSQL 数据库主要有以下五种方法。 EDB安装工具 EDB 公司提供的图像安装工具&#xff0c;支持 macOS 以及 Windows 平台。该工具可以安装 PostgreSQL 服务器、pgAdmin&#xff08;管理开发工具&#xff09;以及 StackBuilder&#xff08;安装 PostgreSQL…

漫画|基于SprinBoot+vue的漫画网站(源码+数据库+文档)

漫画网站 目录 基于SprinBootvue的漫画网站 一、前言 二、系统设计 三、系统功能设计 1系统功能模块 2管理员功能模块 3用户功能模块 四、数据库设计 五、核心代码 六、论文参考 七、最新计算机毕设选题推荐 八、源码获取&#xff1a; 博主介绍&#xff1a;✌️大…

0基础学习Mybatis系列数据库操作框架——Mysql的Geometry数据处理之WKB方案

大纲 序列化反序列化完整TypeHandlerSQL XML完整XML Mapper测试代码代码 在《0基础学习Mybatis系列数据库操作框架——Mysql的Geometry数据处理之WKT方案》中&#xff0c;我们介绍WTK方案的优点&#xff0c;也感受到它的繁琐和缺陷。比如&#xff1a; 需要借助ST_GeomFromText…

数据意外删除?安卓手机数据恢复教程来帮你解救

手机不仅仅是一个通讯工具&#xff0c;更是我们记录生活、工作、学习等各种信息的重要载体&#xff0c;无论是拍照、录音、录像&#xff0c;还是文字记录&#xff0c;手机都能轻松完成。可有时候我们会不小心删除一些重要的数据&#xff0c;这时候我们该怎么办呢&#xff1f;别…

LeetCode/NowCoder-链表经典算法OJ练习3

孜孜不倦&#xff1a;孜孜&#xff1a;勤勉&#xff0c;不懈怠。指工作或学习勤奋不知疲倦。&#x1f493;&#x1f493;&#x1f493; 目录 说在前面 题目一&#xff1a;返回倒数第k个节点 题目二&#xff1a;链表的回文结构 题目三&#xff1a;相交链表 SUMUP结尾 说在前…

分布式锁2-Zookeeper分布式锁实战

Zookeeper分布式锁实战 使用curator操作Zookeeper进行实战&#xff1b; curator是什么&#xff1a;Apache Curator包含一套高级API框架和工具类&#xff0c;它 是Apache ZooKeeper 的Java 客户端库。 准备 pom文件引入curtor依赖和zookeeper依赖 <!--curator--> <…

微信小程序开发环境的搭建

一、注册微信小程序账号 二、安装微信开发者工具 1.下载微信开发者工具。 官网下载地址&#xff1a;https://mp.weixin.qq.com/debug/wxadoc/dev/devtools/downloads.html 2、选择稳定版Window64下载安装 3、下载完毕后&#xff0c;点击下一步安装 三、使用微信开发者工具…

linux---信号的捕捉和处理

提示&#xff1a;以下是本篇文章正文内容&#xff0c;下面案例可供参考 一、信号 可以简单理解为信号是一个进程给另一个信号发消息&#xff0c;进程收到对应的信号就执行对应的方法&#xff0c;linux信号可以分为实时信号和非实时信号 1-31为非实时信号&#xff0c;34-64为…

安全风险 - 检测Android设备系统是否已Root

在很多app中都禁止 root 后的手机使用相关app功能&#xff0c;这种场景在金融app、银行app更为常见一些&#xff1b;当然针对 root 后的手机&#xff0c;我们也可以做出风险提示&#xff0c;告知用户当前设备已 root &#xff0c;谨防风险&#xff01; 最近在安全检测中提出了一…

远动通讯屏柜的组成及各装置的作用

远动通讯屏柜的组成及各装置的作用 远动通讯屏是基于公共电网安全而投入的远方监控遥控设备&#xff1b;主要由远动装置、通讯管理机、交换机、调制解调器、GPS对时装置、数字通道防雷器、模拟通道防雷器、插线板、空气开关、屏柜及附件等设备组成、标配尺寸2260*800*600&…

Android App启动流程和源码详解

前言 之前看了些App启动流程的文章&#xff0c;但是看得很浅显&#xff0c;隔了没多久就忘了&#xff0c;自己抓耳挠腮的终于看完了&#xff0c;看得头疼哦。因为很多是个人理解&#xff0c;大哥们主打一个7分信&#xff0c;2分思考&#xff0c;1分怀疑哈。 主要看的源码是An…

Python中文件操作和异常处理

文章目录 一、文件操作1.概念2.文件3.二进制 二、基本文件操作三、乱码产生四、with open() as f五、代码实现文件复制粘贴六、try ... except ...七、代码比较 一、文件操作 1.概念 帮助我们把爬虫抓下来的数据&#xff0c;进行保存。 2.文件 在计算机中&#xff0c;没有p…

工业大模型带来智能生产新范式

在当前工业行业的发展背景下&#xff0c;大模型技术展现出广阔的应用前景&#xff0c;在提升专业知识的可获取性和传承、优化软件技术的应用、提高数据驱动决策的准确性和效率等方面拥有显著潜力。 ‍‍‍‍‍‍‍‍‍‍据了解&#xff0c;蓝卓“基于supOS工业操作系统的工业大…

【HCIP学习】STP协议

一、STP协议出现背景&#xff08;Spanning Tree Protocol&#xff0c;生成树协议&#xff09; 二层环路带来的问题&#xff1a;广播风暴&#xff1b; MAC地址表的震荡&#xff1b; 二、STP定义 stp是二层网络中用于消除环路的协议&#xff0c;通过阻断冗余链路来消除&#xff…