【派兹互连-SailWind】这家公司悄然入局,国产EDA突围又有新看头了!

news2024/9/24 3:30:07

图片

从光刻机到EDA软件,

国产厂商何以突围?

两年前,美发布禁令直接把对中国大陆半导体产业的限制,从光刻机扩大到集成电路所必需的EDA软件领域,在此之前华为因被美国列入实体清单,被三大海外EDA巨头断供,而两年前的禁令直接影响的却是国内整个半导体产业。

追溯国内EDA行业的发展,从20世纪80年代中后期才真正开始,较全球EDA行业的发展晚了十年,且在研发出国产产品后的第二个十年,并没有实质性的成功,而海外三巨头则趁机占据国内市场,至今EDA市场仍呈现寡头垄断的局面。

图片

全球EDA行业简要格局,来源:华大九天招股书

直到2010年前后,国内EDA厂商才逐渐崭露头角,行业有了加速国产化的势头。近年来经历多次国际贸易摩擦、科技封锁事件后,EDA的重要性不断凸显,一旦EDA受制于人,整个国内芯片产业的发展都可能停摆。

为实现EDA自主可控,更多的利好政策不断出台,叠加下游应用市场需求的持续释放,本土厂商也开始蓄力爆发,发展势头凶猛,国内EDA赛道不断涌现出新生力量,逐渐实现了技术以及市场上的突破。

在国内EDA新势力中,成都派兹互连电子技术有限公司(以下简称“派兹互连”)闯入产业视野,或将成为本土EDA厂商中的一匹“黑马”。据悉,该公司成立于2023年07月31日,注册资本为2.1亿元。

在派兹互连的发展历程中,一个重要的里程碑事件是独家收购西门子EDA的PADS Standard和PADS Standard Plus软件的源代码及中国区业务。这一收购不仅为派兹互连带来了先进的技术和庞大的用户生态,更为其打造国产电子系统设计集成化平台奠定了坚实的基础。

凭借PADS Standard和PADS Standard Plus的源代码、本土化创新等独特优势,派兹互连团队经过潜心探索与研发,结合中国电子产业链的实际需求,进行了大量的本土化创新,打造出一款国产自主、成熟可商用的EDA工具。

图片

板级EDA,也是国内缺失的一环

EDA,即电子设计自动化,是电子行业中不可或缺的一部分,它的出现与发展与半导体技术的迅猛进步密不可分。

从20世纪50年代开始,随着半导体技术的崛起,电路设计变得越来越复杂,需要更高效的工具来应对。到了20世纪60年代,计算机开始被用于电路设计中,最初的电路设计软件主要由电子工程师自行编写,主要用于计算电路元件的参数和特性。进入20世纪70年代,EDA开始成为一个独立的领域,电路设计软件逐步向通用化和自动化的方向发展。

进入21世纪,随着科技的飞速进步,EDA技术得到了前所未有的发展。从最初的基础设计软件,到如今的原理图编辑器、布局编辑器、模拟器、综合器、静态和动态时序分析器等一系列高级工具,EDA的功能日益完善,应用领域也愈发广泛。如今,EDA已经深入到集成电路设计、半导体制造、PCB等多个领域,成为电子工业不可或缺的一部分。

图片

EDA进入大众视野或在美国禁令之后,而我们更熟悉的EDA可能都是芯片级的EDA,板级EDA领域少被提及,却也是国内缺失的一环。PCB作为电子设备的核心组成部分,无论是通讯、汽车、航空、医疗还是机器人,都需要它来实现各个模块之间的连接和控制,保证电子产品稳定可靠的运行,而设计PCB的板级EDA,同样有着不容小觑的作用。

板级EDA工具属于系统类EDA工具的重要组成部分,常常称为PCB设计软件,在电子电路设计中发挥着举足轻重的作用,它包括几个关键细分领域,包括原理图设计、PCB Layout设计、封装设计、PCB计算机辅助制造(CAM)、PCB SPICE、线束和物理分析工具等。是工程师创建电路原理图不可或缺的帮手之一,也是新一代板级 EDA 布局的关键一环。

据了解,派兹互连即致力于自主可控的板级EDA工具研发,该公司最新EDA工具Sailwind将于4月下旬发布。该工具涵盖了RF设计、高速设计、3D设计、封装设计以及PLM系统集成等,可应对从简单到复杂的各种电子设计挑战。

无论是数字电路、模拟电路还是混合电路,该软件都能提供实时设计规则检查,确保设计的准确性和稳定性。这一产品将能够广泛应用于电子产业链的各个环节,包括芯片设计、通信设备、汽车电子等领域,满足广大用户的多样化需求。

板级EDA领域不仅投资要求高,而且产出回报率也相对较低,这导致了中国本土仅有少数企业会专注板级 EDA 软件的研发,而这一市场仍以国外软件为主流。

在国内市场,三家海外EDA公司的PCB设计工具在主流PCB设计工具中属于第一阵营,并且不管是个人还是企业都有应用,中大型公司更加青睐集成度较高、可接受的成本、扩展性较强、安全、稳定、可靠的PCB设计工具,加上各行业历史原因所出现的生态因素,以Cadence Allegro 和Siemens EDA Xpedition为企业级第一梯队,Altium为企业级第二梯队。以Altium和Siemens EDA PADS为个人用户或者低终端用户组为第一梯队,其它为第二梯队。

图片

派兹互连的EDA工具优势在于自主可控及成熟可商用,即因为其依托全球主流成熟商用的PADS软件技术和完善庞大的用户生态,立足于PADS已经具备高速PCB设计能力,自动布局布线功能,完备的设计规则检查系统。

与此同时,该公司在自主研发上,融合中国电子系统设计与制造的行业客户需求,致力于打造行业首创的集成、开放的电子系统设计和生命周期管理平台,从而实现组件、子系统和系统级设计之间的协作。

据了解,派兹互连团队核心成员来自国际知名EDA公司及高科技企业,并且有业内知名顶尖专家团队的支持,团队成员平均拥有15年以上行业研发、应用和销售经验,能够快速提升电子研发工程效率,优化工作流程并降低研发成本。

可以看到,随着我国半导体产业的发展与国产替代进程的逐步推进,本土EDA发展之路虽然艰难,但正在一步步迎来曙光。国产EDA企业在产业政策、产业环境、投资支持、行业需求、人才回流等各方面利好影响下,正进入黄金期。

我们乐于去期待,国内的EDA新势力企业,通过自主研发和技术创新,为中国集成电路设计行业发展贡献出新的力量。

图片

展望未来,EDA行业的发展趋势将更加广阔。随着物联网、人工智能、5G等新兴技术的兴起,电子设备的功能和性能要求越来越高,对EDA软件的需求也日益增长。同时,随着集成电路产业的快速发展,EDA软件在集成电路设计、验证、测试等环节的作用将更加凸显。

中国半导体行业协会数据显示,2020—2025年,本土EDA产业年平均复合增速将达到14.75%。预计到2025年,本土市场规模将达到185亿元。在市场需求驱动下,国内EDA企业也将迎来快速成长。

其中以派兹互连为代表的新兴国产EDA企业的出现及发展实力,让我们看到国产EDA已经逐步突破西方的科技封锁,逐步突围受制于人的局面。随着国内半导体产业自主可控需求的增长、国产替代的推进,以及政策、资本和人才等多方面的支持,国产EDA企业正迎来前所未有的发展机遇,有望在全球市场中崭露头角,占据越来越重要的地位。

目前主要国产EDA厂商名单如下:

图片

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1596174.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

MOM系统:制造企业的“神级助手“!

一、大环境下的智能化改造 嘿,亲爱的制造企业老板们,你们是否曾经为生产计划混乱、物料和设备管理无序、产品质量不稳定等等问题而头疼不已?现在,有一个超级助手可以帮助你们解决这些问题,那就是MOM系统!什…

《前端面试题》- JS基础 - 伪数组

第一次听说伪数组这个概念,听到的时候还以为是说CSS的伪类呢,网上一查,这东西原来还是个很常见的家伙。 何为伪数组 伪数组有两个特点: 具有length属性,其他属性(索引)为非负整数但是却不具备…

Python 操作 json 数据

在Python中,操作JSON数据主要包括序列化(将Python对象转换为JSON格式)和反序列化(将JSON字符串转换回Python对象)。 以下是使用Python内置的json模块进行这些操作的基本示例: JSON 序列化 (Serialization…

记一次事件到供应链的顶级拉扯

前言 某天,夜里3点 天刚蒙蒙亮 开局:我有一个朋友~ 看不懂发的什么意思,再仔细看看,懂了! 闲言少叙,遇事不决先上bp,启动! 进去之后发现基本没什么实际功能点,像假的一样。注意这里…

怎么修改图片大小?在线图片处理的方法介绍

在日常生活中,我们经常需要调整图片大小以适应不同的网络上传要求。不管是微信、QQ换头像背景图片,还是各种社交媒体相册,都需要对图片改大小,今天就介绍几个关于修改图片大小的方法,可以不用下载安装就能在线图片处理…

学浪怎么下载视频

购买了学浪的人希望能随时随地学习,而学浪提供了许多有价值的视频课程。然而,由于网络限制或个人需求,有时候我们可能希望将这些视频下载到本地。本文将介绍如何利用简单的工具实现学浪视频的下载,让学习变得更加自由灵活。 学浪…

OSPF 开放式最短路径优先协议

目录 技术产生原因:因为RIP存在不足 OSPF优点: RIPV2和OSPFV2比较: 相同点: 不同点: OSPF的结构化部署 --- 区域划分 区域划分的主要目的: 区域边界路由器 --- ABR : 区域划分的要求&am…

【源码】2024全开源多语言多商户跨境商城源码|多语言跨境外贸电商系统App

ikTok SHOP跨境电商系统V8版是一套B2CB2B跨境电商商城系统源码,多商户多语言,全新百变DIY模板;该系统支持B-C自营、B-B-C第三方卖家系统、B2B批发电商模式;该源码是使用Laravel框架PHP开发的,前后端全开源可二开。 版…

护眼灯什么牌子好,几款专业的护眼灯品牌推荐

​随着近视在孩子中的普遍性日益增加,家长们开始认识到,除了学业成就外,孩子的视觉健康同样需要早期关注。毕竟,学业的挫折可以逐步克服,但一旦视力受损,眼镜就可能成为终生的伴侣。鉴于此,专业…

企业级网络安全:入侵防御实时阻止,守护您的业务安全

随着互联网技术的快速发展,企业级网络安全问题日益凸显。在这个数字化时代,企业的业务安全不仅关系到企业的形象和声誉,还直接影响到企业的生存和发展。因此,加强企业级网络安全,预防和抵御各种网络攻击已成为企业的重…

【ThinkBox_Deadline_3dmax使用教程】

ThinkBox Deadline 3dmax使用教程 2019-01-18 10:51 1.打开Thinkbox.Deadline 监控器,设置超级用户才能操作提交渲染和设置任务。 2.设置插件和软件的路径的操作: 3.默认安装C盘安装在其他盘符的需要按照路径找相应格式的文件加载(标注的…

EPSON 的 RX8010SJ 为安防产品解决方案

EPSON作为实时时钟解决方案提供商中的佼佼者,为了适应市场需求,推出实时时钟模块RX8010SJ。该款实时时钟模块除了拥有超高性价比以外,还拥有不俗的整体性能,同时集成了日历功能可用于事件标记,非常适合应用在竞争日渐激…

【2024年认证杯】A题详细思路+数据(来源)+成品论文+模型代码(matlab+python)

2024年认证杯A题 解题思路 ⭐⭐第一问题分析第二问题分析第三问题分析 数据与数据来源🎉🎉指标解释数据来源 成品参考论文😊😊python/ matlab 代码🚀🚀 解题思路 ⭐⭐ 这个题目要求我们围绕人造保暖纤维的…

vue模版字符串解析成vue模版对象

模版字符串 this.code <template><div style"width:100% ; height: 100% ;">{{resultData[0].name}}</div> </template> <script> export default {data() {return {resultData: [{ name: 图幅, value: 20 },]}},mounted(){},method…

STM32移植嵌入式开源按键框架

目录 STM32移植嵌入式开源按键框架 MultiButton简介 multi_button.c文件 multi_button.h文件 按键事件 案例使用方法 学习剖析 STM32移植嵌入式开源按键框架 今天移植了一款嵌入式按键框架工程MultiButton&#xff0c;MultiButton是一个小巧简单易用的事件驱动型按键驱动…

15 Python进阶: random和pyecharts

Python random 模块主要用于生成随机数。 random 模块实现了各种分布的伪随机数生成器。 要使用 random 函数必须先导入&#xff1a; import randompython random 模块的一般用法 Python中的random模块提供了生成伪随机数的功能&#xff0c;可以用于模拟、游戏开发、密码学…

关于《CS创世 SD NAND》的技术学习分享

最近发现一个好玩的东西《CS创世 SD NAND》&#xff0c;带大家一起体验一下。 本文引用了部分厂家产品资料及图像&#xff0c;如有侵权&#xff0c;请及时联系我删除&#xff0c;谢谢。 《CS创世 SD NAND》官方网站&#xff1a;http://www.longsto.com/ 什么是CS创世 SD NAND呢…

电商API数据采集接口|跨境卖家在追求精细化运营过程中数字化选品商品采集和商品上架的多方面应用

现在跨境卖家都在追求精细化运营&#xff0c;在精细化运营过程中&#xff0c;数据分析少不了&#xff0c;下面为数据分析在选品、引流、转化率三个方面的应用。 电商商品详情数据采集API接口|数据化选品 全世界各个国家都有着自己的传统与文化&#xff0c;也有着自己的生活方式…

如何正确使用数字化仪前端信号调理?(二)

在上期文章如何正确使用数字化仪前端信号调理&#xff1f;&#xff08;一&#xff09;中&#xff0c;我们为大家介绍了数字化仪前端电路所需的特性以及使用过程中需要的输入抗阻和输入耦合&#xff0c;本期文章将为您介绍数字化仪前端信号调理的使用过程中所需的输入电压范围&a…

RabbitMQ实战教程(2)

十、RabbitMQ实战教程 在掌握了SpringCloudAlibaba的应用后&#xff0c;再来玩&#xff01;&#xff01; 为了更好的理解RabbitMQ在项目中的作用&#xff0c;来一套实战操作。 10.1 RabbitMQ实战场景 首先模拟一个场景&#xff0c;电商中对应的处理方案。 模拟一个用户在电…