西北工业大学计算机组成原理实验报告——verilog前两次

news2025/1/16 20:19:03

说明

  1. 为了有较好的可读性,报告仅仅粘贴关键代码。
  2. 该PDF带有大纲功能,点击大纲中的对应标题,可以快速跳转。

实验目标

  1. 掌握单周期CPU执行指令的流程和原理;
  2. 学习使用verilog HDL语言实现单周期CPU, 并通过功能仿真;
  3. 提高设计实现较复杂硬件系统的能力;
  4. 激发对硬件设计的兴趣。

在这一次实验中,需要实现可以执行以下指令的CPU

a) R型指令:addu,subu,add,and,or,slt
b) I型指令:addi,addiu,andi,ori,lui
c) 访存指令:lw,sw
d) 跳转指令:beq,j,jal,jr

实验步骤

按照实验指导完善CPU

目前是从零起步,要想对CPU进行功能测试以及仿真,必须先搭建一个框架,在这里首先按照教程,搭建一个可以实现addu的指令。

(一)实现addu指令
(1)对addu指令进行分析

image-20230620150455603

addu指令所需要用到的器件基本上囊括了数据通路的器件。
以下是addu指令的器件:

  • 寄存器堆:寄存器堆用来存储数据,是CPU内部的存储器,访问速度最快。在MIPS中,有32个寄存器。
    寄存器的写入是需要写使能信号,并在时钟上升沿进行写入。
    寄存器的读取是组合逻辑,在值进行变化之后,立即读出数字。
  • 加法器:在该实验中,加法器仅仅用于加法,事实上,其名字为ALU,既可以执行算术运算,也可以执行逻辑运算。通过给ALU具体的操作码,可以让ALU做具体的运算。
  • 指令存储器:为了避免后面的结构冒险,把存储器分为了IM和DM,其实在真正的计算机中,对应着指令cache和数据cache.
  • PC寄存器:存储CPU当前执行的指令的地址,并在执行一条指令时自增,变为下一条指令的地址,以便下次使用。

所以要实现一个addu指令,就先要搭建好上述的器件。

(2)寄存器文件实现

寄存器文件的读端口是组合逻辑,写端口是时序逻辑。
特别的是寄存器文件的0号寄存器,其实可以被写入的,只不过是每一次读出来的值并不相同,所以采用在读寄存器的时候进行判断其是不是零号寄存器。

reg [31:0] gp_registers[31:0]; //32个寄存器
assign a = (rs==0)? 0 : gp_registers[rs];//若为0号寄存器,那么返回0
assign b = (rt==0)? 0 : gp_registers[rt];//若为0号寄存器,那么返回0
always @(posedge clock) begin//时钟上升沿的时候执行写入操作
    if(reg_write) begin//只有写使能信号有效时才写
        gp_registers[num_write] <= data_write;  
    end
end
(3)加法器设计

在这里为了先让CPU跑起来,象征性地设计一个加法器。

assign c = a + b;
(4)指令存储器设计

指令寄存器的空间大小有限,所以只是取低12位进行访存。

但是由于reg [31:0] ins_memory[1023:0],对于该数组的访问是一次性访问4字节,所以要把4字节作为一个整体进行访问,可以使用以下语句进行访问存储器

assign instruction = ins_memory[pc[11:0] >> 2];
(5)PC寄存器

同步低电平复位,所以复位信号的下降沿不作为敏感信号列表。

always @(posedge clock) begin
    if(reset == 0) pc <= 32'h0000_3000;
    else pc <= npc;
end
(6)顶层模块设计

在顶层模块中,采用了名称关联,这样可以不需要关注各个端口的顺序,可读性更强。

module s_cycle_cpu(clock,reset);
//输入
input clock;
input reset;
wire [31:0] npc,pc, instruction, a,b,c;
//下一条指令为当前指令+4
assign npc = pc + 4;
pc PC(.pc(pc),
      .clock(clock),
      .reset(reset),
      .npc(npc));
im IM(.instruction(instruction),
      .pc(pc));
gpr GPR(.a(a)          ,  //寄存器1的值
        .b(b)          ,  //寄存器2的值
        .clock(clock)      ,
        .reg_write(1'b1)  ,  //写使能信号
        .rs(instruction[25:21])         , //读寄存器1编号
        .rt(instruction[20:16])         , //读寄存器2编号
        .num_write(instruction[15:11])  , //写寄存器编号
        .data_write(c) ); //写数据     
alu ALU(.c(c),.a(a),.b(b));
endmodule
(7)波形仿真

汇编代码:

addu $2, $3, $4
addu $5, $6, $7

波形:

image-20230620155410510

在图中可以看到寄存器组正确读出了位于3号以及4号寄存器中的值,然后把3与4相加,得到了正确的结果。

同时看到第3,4行的pc以及npc正确。

image-20230620155604048

2号寄存器的值被写入3号以及4号的值,addu指令本地测试正确!

(二) 增加实现R型指令

下标为所需要增加的指令

image-20230620165511171

根据这一张表,发现需要增加的指令同为R型,基本的步骤是完全相同的,唯一有区别的就是ALU单元所执行的运算操作不同。

需要增加的单元:控制单元(在其中通过给定的指令的op以及func字段,确定ALU的具体操作)

需要修改的单元:ALU单元(响应由控制单元所生成的控制信号alu_op,并执行不同的操作)

(1)增加控制模块

在控制模块中需要产生一些对ALU行为进行控制的信号,为了增强可读性,采取宏定义。
我在宏定义之前表示了alu_op_,表示这是关于ALU操作控制信号的宏定义,这样做可以避免之后的设计中出现命名冲突。

`define alu_op_add 4'b0000
`define alu_op_sub 4'b0001
`define alu_op_and 4'b0010
`define alu_op_or 4'b0011
`define alu_op_slt 4'b0100

对于不同的op字段,使用 if 语句进行判断,在op字段为000000的情况下,对其func字段进行译码。

大体框架如下(为了可读性,仅列举代码的框架,具体赋值通过表格形式展现):

always@(*) begin
    if(op == 6'b000000)begin//当op字段为000000时,为R型指令,然后对func字段进行译码
        case(funct)
            6'b100001: begin 
                aluop = `alu_op_add; 
                reg_write = 1;
            end
           	........//这里省略了其他情况的func字段下对应的赋值
          	default:........
        endcase
    end
    else begin//防止出现多余的锁存器
        reg_write = 0;
        aluop = 0;
end
  1. 为了避免出现多余的锁存器,采用了else以及default语句。
  2. 由于在本CPU中不考虑异常,无需判断是否溢出,所以把有符号的加以及无符号的加全部当成无符号的加进行处理。

赋值情况如下表:

操作助记符opfunctreg_writealuop
addu6’b0000006’b1000011alu_op_add
subu6’b0000006’b1000111alu_op_sub
add6’b0000006’b1000001alu_op_add
and6’b0000006’b1001001alu_op_and
or6’b0000006’b1001011alu_op_or
slt6’b0000006’b1010101alu_op_slt
其他其他00
(2)完善ALU

在ALU中,仅仅需要根据控制器传过来的控制信号,直接进行相应的运算。

always @(*) begin
    case(aluop)
      `alu_op_add: c = a + b;
      `alu_op_sub: c = a - b;
      `alu_op_and: c = a & b;
      `alu_op_or:  c = a | b;
      `alu_op_slt: begin
       if($signed(a) < $signed(b)) c = 1;//符号比较
        else c = 0;//防止多余锁存器
      end
      default c = 0;//防止多余锁存器
    endcase
end
(3)完善顶层模块
wire [3:0] aluop;//新增了aluop,并把其接入ctrl以及alu模块中
alu ALU(.c(c),.a(a),.b(b), .aluop(aluop));
ctrl CTRL(  .reg_write(reg_write)  ,
            .aluop(aluop),
            .op(instruction[31:26])   ,
            .funct(instruction[5:0]) );
(4)波形仿真

汇编代码:

addu $3, $1, $2
subu $4, $1, $2
add $5, $1, $2
and $6, $1, $2
or $7, $1, $2
slt $8, $1, $2
slt $9, $1, $zero

在执行过程中截取的寄存器中的数字

image-20230620172802818

分析:对于0号寄存器,由于在testbench中未初始化,所以其值为x

对于1,2,3,4,5,6,7,8,9号寄存器,其初始值为1,2,3,4,5,6,7,8,9

在执行完成对应的汇编指令之后,有:

  • addu $3, $1, $2: 将寄存器 $1 的值加上寄存器 $2 的值,并将结果存储在寄存器 $3 中。根据分析结果和波形,当 $1 = 1,$2 = 2 时,执行该指令后,$3 = 3。
  • subu $4, $1, $2: 将寄存器 $1 的值减去寄存器 $2 的值,并将结果存储在寄存器 $4 中。根据分析结果和波形,当 $1 = 1$2 = 2 时,执行该指令后,$4 = -1,波形中为其补码,正确。
  • add $5, $1, $2: 将寄存器 $1 的值与寄存器 $2 的值相加,并将结果存储在寄存器 $5 中。根据分析结果和波形,当 $1 = 1$2 = 2 时,执行该指令后,$5 = 3
  • and $6, $1, $2: 将寄存器 $1 的值与寄存器 $2 的值进行按位与运算,并将结果存储在寄存器 $6 中。根据分析结果和波形,当 $1 = 1$2 = 2 时,执行该指令后,$6 = 0
  • or $7, $1, $2: 将寄存器 $1 的值与寄存器 $2 的值进行按位或运算,并将结果存储在寄存器 $7 中。根据分析结果和波形,当 $1 = 1$2 = 2 时,执行该指令后,$7 = 3
  • slt $8, $1, $2: 比较寄存器 $1 的值是否小于寄存器 $2 的值,如果成立,则将 $8 设置为 1,否则为 0。根据分析结果和波形,当 $1 = 1$2 = 2 时,执行该指令后,$8 = 1
  • slt $9, $1, $zero: 比较寄存器 $1 的值是否小于零,如果成立,则将 $9 设置为 1,否则为 0。根据分析结果和波形,当 $1 = 1 时,执行该指令后,$9 = 0

对于波形分析之后,发现执行结果完全正确,本地测试通过!

(三)增加实现I型指令

对下面的指令进行分析

image-20230620174321972

  1. 发现目的寄存器编号并不再是rd,而是rt,所以需要在寄存器堆的rd端口增加一个选择器。
  2. 在运算部分,ALU的第二个操作数为立即数,所以ALU的b端口需要一个多路选择器。
  3. ALU需要增加lui指令的功能。
  4. 在机器代码中,立即数是16位,但是ALU操作的数字的位宽是32位,所以需要进行符号拓展。
  5. 更改控制器,增加对于多路选择器的控制信号。
(1)增加多路选择器模块

为了进行层次化设计,专门实现一个mux2to1模块,而不是简单使用条件运算符进行运算。

在上面的需求分析中,多路选择器可能是5位的,也可能是32位的,为了适应不同的位宽,定义带有参数的多路选择器。

module mux2to1 (out, in0, in1, sel);
    parameter WIDTH = 32;//可变参数
    input [WIDTH - 1:0]in0; //第0个输入
    input [WIDTH - 1:0]in1; //第1个输入
    input sel;//选择信号
    output [WIDTH - 1:0]out;//输出
    assign out = sel ? in1 : in0;//选择信号为1,输出in1,选择信号为0,输出in0
endmodule 
(2)修改ALU,增加lui指令

在case语句中加入针对lui的操作,同时更新一下宏定义。

case(aluop)
     ............
      `alu_op_lui: c = {b[15:0], 16'h0};
      default c = 0;
endcase
(3)增加符号拓展

符号拓展(extender)为组合逻辑,当signextend为1的时候对立即数进行符号拓展,反之进行零拓展。

module extender(    input [15:0] in,//立即数
                    input signextend,//是否为符号拓展
                    output reg[31:0] out);//输出
always @(*) begin
    if(signextend == 0)
        out = {{16{1'b0}}, in};
    else
        out = { {16{in[15]}}, in};
end
endmodule
(4)改变控制模块

在控制模块中,新增二选一多路选择器的控制信号。

增加的方式是case语句的对应位置增加赋值语句。

代码框架(仅仅展示代码框架,为了可读性较好,把具体的赋值过程放在后面的表格中):

always@(*) begin
    case(op)
    6'b000000:begin
        s_num_write = 1;
        s_b = 0;
        s_ext = 1;
        case(funct)
            6'b100001: begin 
                aluop = `alu_op_add; 
                reg_write = 1;
            end
            ............//其他R型指令对应控制信号
            default :begin
                aluop = `alu_op_add; 
                reg_write = 1;
            end
        endcase
    end
    6'b001000:begin
        s_num_write = 0;
        s_b = 1;
        s_ext = 1;
        aluop = `alu_op_add;
        reg_write = 1;
    end
    .............//其他op字段对应的控制信号
    default: begin//防止出现多余的锁存器
        s_num_write = 0;
        s_b = 0;
        s_ext = 0;
        aluop = `alu_op_add;
        reg_write = 0;
    end
endcase

控制信号分配表:

助记符opfuncts_num_writes_bs_extaluopreg_write
addu6’b0000006’b100001101alu_op_add1
subu6’b0000006’b100011101alu_op_sub1
add6’b0000006’b100000101alu_op_add1
and6’b0000006’b100100101alu_op_and1
or6’b0000006’b100101101alu_op_or1
slt6’b0000006’b101010101alu_op_slt1
6’b000000其他101alu_op_add1
addi6’b001000不关心011alu_op_add1
addiu6’b001001不关心011alu_op_add1
andi6’b001100不关心010alu_op_and1
ori6’b001101不关心010alu_op_or1
lui6’b001111不关心010alu_op_lui1
其他其他000alu_op_add0
(5)顶层模块

其中,ALU,IM,PC的接线不需要改动,在这里没有列出来。

`include "header.v"
module s_cycle_cpu(clock,reset);
input clock;
input reset;
wire [31:0] npc, pc, instruction, a,b, c,mux2to1_out_to_alu_b,expanded_numbers;
wire [3:0] aluop;
wire reg_write;
wire [4:0] mux2to1_out_to_gpr_rd;
assign npc = pc + 4;
wire s_num_write, s_b, s_ext;
mux2to1 #(.WIDTH(5)) MUX2to1_GPR_RD(//表示连接在gpr的rd接口上的多选器
        .in0(instruction[20:16]),//rt字段 
        .in1(instruction[15:11]),//rd字段
        .sel(s_num_write),//与控制器连接 
        .out(mux2to1_out_to_gpr_rd) );//输出到gpr的rd口上
mux2to1 #(.WIDTH(32)) MUX2to1_ALU_B(
    .in0(b), //rt中寄存器的值
    .in1(expanded_numbers),//符号拓展之后的立即数
    .sel(s_b), //与控制器相连接
    .out(mux2to1_out_to_alu_b) );//输出到ALU的b端
extender EXTENDER(
    .in(instruction[15:0]),//指令中的立即数字段
    .signextend(s_ext),//是否进行符号拓展
    .out(expanded_numbers)//拓展之后的数字
);
gpr GPR(.a(a)          ,  //寄存器1的值
        .b(b)          ,  //寄存器2的值
        .clock(clock)      ,
        .reg_write(reg_write)  , 
        .rs(instruction[25:21])         , //读寄存器1编号
        .rt(instruction[20:16])         , //读寄存器2编号
        .num_write(mux2to1_out_to_gpr_rd)  , //写寄存器编号,与多选器连接
        .data_write(c) ); //写数据      )	
ctrl CTRL(.reg_write(reg_write)  ,
          .aluop(aluop),
          .s_num_write(s_num_write),//与gpr的rd端口相连的mux的选择信号
          .s_b(s_b),//与ALU——b端口连接的mux的选择信号
          .s_ext(s_ext),//符号拓展与否
          .op(instruction[31:26])   ,//两个输入
          .funct(instruction[5:0]) );

endmodule
(6)波形仿真

汇编代码

addi $3, $1, -100
addiu $4, $1, 10
andi $5, $1, 3
ori $6, $1, 2
lui $7, 0x1234 #把$7设置为0x12345678
addi $7, $7, 0x5678

仿真波形

image-20230620194155650

对于波形的分析,如表:

运算寄存器预期结果实际结果
$3=$1+(-100)$30xFFFFFF9D(-99)FFFFFF9D
$4=$1+10$40xB0xB
$5=$1&3$50x10x1
`$6=$12`$60x3
$7=0x12340000$70x123400000x12340000
$7=$7+0x5678$70x123456780x12345678

经过对波形的分析,结果正确,本地测试通过!

(四)增加实现MEM型指令

image-20230620195600800

如图,推访存类指令进行分析,发现之前的绝大部分内容全部可以复用。

需要新加入的有数据存储器以及控制写入寄存器文件的数据的多选器。

(1)增加DM模块

DM模块的实现与指令存储器几乎一致,其写入是要在时钟上升沿的时候进行,读取为组合逻辑。

同时仅仅sw具有写DM的功能,所以DM还需要写使能信号控制。

由于在一个周期中,DM被读取或者是被写入,所以读与写可以共用地址线。

关键代码如下

reg [31:0] data_memory[1023:0]; //4K数据存储器
always @(posedge clock) begin//在时钟的上升沿
    if(mem_write) //仅仅当写使能有效的时候才更新
        data_memory[address[11:2]] <= data_in;
end
always @(*)begin//组合逻辑,读取地址线上的地址所对应的数据存储器中的内容
    data_out = data_memory[address[11:2]];
end
(2)增加多路选择器

首先,在ctrl模块中增加连接到gpr写入数据端的多路选择器控制信号。

仅仅有lw指令需要把数据存储器的输出写入到寄存器中,所以可以设置仅仅当指令为lw的时候,mux2to1选择数据存储器的输出,否则选择ALU的输出。

然后,需要在控制模块中把dm接入

在顶层模块中,需要改动的有:

DM的调用模块,把DM接入

dm DM(
    .data_out(dm_data_out),//连接到下面的多路选择器
    .clock(clock),
    .mem_write(mem_write),//由ctrl模块进行控制
    .address(dm_address),//连接到ALU的输出
    .data_in(dm_data_in)  );//连接到gpr的第二个数据输出端口

多路选择器

mux2to1 #(.WIDTH(32)) MUX2to1_GPR_DATA_WRITE(
    .in0(c), //ALU的输出
    .in1(dm_data_out),//数据存储器读出的数据
    .sel(s_data_write),//选择信号,由ctrl模块进行控制
    .out(mux2to1_out_to_gpr_data_write) );//连接到gpr的数据写入端口
(3)修改ctrl模块

在ctrl模块中加入控制多路选择器以及mem_write的信号

对应控制信号与指令的关系如下表:

助记符opfunctmem_writes_data_write
addu6’b0000006’b10000100
subu6’b0000006’b10001100
add6’b0000006’b10000000
and6’b0000006’b10010000
or6’b0000006’b10010100
slt6’b0000006’b10101000
6’b000000其他00
addi6’b001000其他00
addiu6’b001001其他00
andi6’b001100其他00
ori6’b001101其他00
lui6’b001111其他00
sw6’b101011其他10
lw6’b100011其他01
其他其他00
(4)波形仿真

汇编代码

addi $t0, $zero, 0x3f
sw $t0, 4($zero)
lw $t1, 4($zero)

image-20230620210900635

上图中的为dm的波形,从图中可以看到,在第二个周期结束的时候,成功把事先设定好的0x3f写入地址为4的存储器中。(由于一个数组是4个字节,所以数组下标为1的位置就对应着第4到7个字节,一次写入4个字节)

image-20230620211320535

上图为寄存器文件的内容

在第一个周期中,1号寄存器被写入预定值,在第二个周期,没有对寄存器进行操作,在第三个周期末,成功把在第二个周期存入的数字放置在2号寄存器中。

经过验证,结果正确,通过本地的测试

(五)增加跳转型指令

在这个任务中,需要添加以下指令:j jal jr beq

对于这些指令的分析如下:

  • 段内绝对跳转指令使用指令类型为"j"和"jal"。这些指令的操作码占据6位,剩余的26位用于存储目标地址。由于所有指令的长度为4字节,所以目标地址的最低2位不需要存储。因此,实际可供寻址的范围为228,即256MB,地址的高四位使用PC+4的高四位进行代替
  • 寄存器跳转指令"jr"可以跳转到任意在寄存器中存放的32位目标地址。
  • 相对跳转指令"beq"使用指令低16位的偏移量作为相对于当前PC的有符号偏移。由于偏移地址的最低两位不需要存储,因此可支持的跳转范围是相对于PC的偏移为-128KB~+128KB

image-20230621072950586

如图,对于绿色箭头所指示的部分的多路选择器,对其进行分析。

  • s_npc为0,相等则跳转,并采用相对跳转方式进行寻址,如果两个操作数相等,那么就跳转到PC + 4 +(sign_extend(offset)<<2)处,否则跳转到PC+4.
  • s_npc为1,绝对跳转指令(寄存器跳转指令)直接把PC寄存器的值改为寄存器中的地址。
  • s_npc为2,段内绝对跳转,通过把26个立即数与PC+4的高四位进行拼接,得到地址。
  • s_npc为3,指令的正常顺序执行,下一条指令的地址为PC+4

通过以上的分析,发现:增加跳转指令需要进行以下的操作:

  1. ALU增加zero输出信号
  2. 修改ctrl模块,增加对于四条跳转指令的控制信号以及s_npc的控制信号
  3. 增加两个求跳转地址的模块
  4. 更改原来的二选一多路选择器,把其变为四选一多路选择器。
  5. 更改顶层模块
(1)修改ALU

在声明zero端口

module alu(c, zero, a, b, aluop);
output zero;    
assign zero = (c == 0);
(2)增加4选1选择器

同样为了解决数据宽度的问题,采用WIDTH可变参数。

module mux4to1 (out, in0, in1, in2, in3, sel);
  parameter WIDTH = 32;
  input [WIDTH - 1:0] in0;   // 输入端口 in0,宽度为 WIDTH
  input [WIDTH - 1:0] in1;   // 输入端口 in1,宽度为 WIDTH
  input [WIDTH - 1:0] in2;   // 输入端口 in2,宽度为 WIDTH
  input [WIDTH - 1:0] in3;   // 输入端口 in3,宽度为 WIDTH
  input [1:0] sel;           // 选择信号 sel
  output reg [WIDTH - 1:0] out;  // 输出端口 out,宽度为 WIDTH
  always @ (*) begin
    case (sel)              
      0: out = in0;         // 当 sel 等于 0 时,输出端口为 in0
      1: out = in1;         // 当 sel 等于 1 时,输出端口为 in1
      2: out = in2;         // 当 sel 等于 2 时,输出端口为 in2
      default: out = in3;   // 当 sel 等于 4 时,输出端口为 in3
    endcase
  end
endmodule
(3)修改ctrl模块

当根据opfunct字段的不同取值,与对应的MIPS汇编助记符建立表格,如下所示:

opfunctMIPS助记符s_npcs_data_writemem_writereg_writes_num_writes_bs_extaluop
6’b0000006’b100001add3101101alu_op_add
6’b0000006’b100011sub3101101alu_op_sub
6’b0000006’b100000add3101101alu_op_add
6’b0000006’b100100and3101101alu_op_and
6’b0000006’b100101or3101101alu_op_or
6’b0000006’b101010slt3101101alu_op_slt
6’b0000006’b001000jr1不关心00不关心不关心不关心alu_op_add
6’b000000defaultalu_op_add
6’b001000addi3101011alu_op_add
6’b001001addiu3101011alu_op_add
6’b001100andi3101010alu_op_and
6’b001101ori3101010alu_op_or
6’b001111lui3101010alu_op_lui
6’b101011sw3110不关心11alu_op_add
6’b100011lw3201011alu_op_add
6’b000010j2不关心00不关心不关心不关心alu_op_add
6’b000011jal20012不关心不关心alu_op_add
6’b000100beq0不关心00不关心0不关心alu_op_sub
default3不关心00不关心不关心不关心alu_op_add

在表格中,设置了默认情况,用于防止出现多余的锁存器。

(4)增加下地址计算模块

首先增加段内绝对跳转(绿色框所标识的)

image-20230621185909678

根据图示得知,该模块有两个输入(2‘b00可以直接在模块内生成),一个输出

地址的拼接方法为:

addr = {PC[31..28 ], instr_index ,2’b00}

给这一个模块起名字为intra_segment_jump_addr_calc

module intra_segment_jump_addr_calc(addr, pc_add_4, instr_index);
input [31:0]pc_add_4;//经过前面的ADD模块生成的PC+4的值
input [25:0]instr_index;//指令中的低26位
output [31:0] addr;//计算得到的地址
    
assign addr = {pc_add_4[31:28], instr_index[25:0], 2'b00};//按照段内跳转的地址规范进行赋值
endmodule

通过这一个模块,可以对于指令j和jal的下一个地址进行计算。

然后增加beq地址的计算器

image-20230621191356317

我设计了一个模块,包括了图中的绿色框中的内容。

如果要完全按照图中的三个小模块(MUX,ADD,左移二运算器)进行设计的话,那么电路设计就会显得非常繁琐。
所以在这里我把三者合并为一个模块,叫做relative_jump_addr_calc

我定义的这一个模块有以下输入输出信号:

  • zero: 由ALU所产生,表示两个数字是否相等。若相等,那么执行跳转。
  • pc_add_4: 表示从图中紫色框输出出来的PC+4的值
  • sign_extended_imm: 32位经过符号扩展的立即数

输出信号为:

  • addr: 表示计算得到的相对跳转的目标地址。
    若条件满足,则为PC值+4+偏移量
    若条件不满足,则目标地址为PC+4

最终得到这一个模块:

module relative_jump_addr_calc(addr, zero, pc_add_4, sign_extended_imm);
input zero;
input [31:0] pc_add_4, sign_extended_imm;
output [31:0]addr;
assign addr = zero ? (pc_add_4 + (sign_extended_imm << 2))://如果条件满足,那么跳转
                      pc_add_4;//条件不满足
endmodule

在代码中使用条件运算符表示多路选择器,采用运算符表示ADD,左移二运算器

(5)仿真测试

在这里采用仿真测试进行DEBUG,详细的操作见后面的“遇到的问题与解决办法”,这里进列举部分。

现在编写如下代码测试je指令以及beq指令

img

img

发现跳转正常

解释:第二条指令跳转到第四条指令

第四条指令条件不满足,向下执行

第五条指令条件满足,跳转到第一条指令

遇到的问题与解决办法

问题一:

在设计存储器(IM)的时候,对于一个地址,在时钟上升沿写入的内容,在该周期内无法读出,不是组合逻辑。

错误代码局部:

always @ (pc) begin
    instruction = ins_memory[pc[11:0] >> 2];
end

经过仔细检查代码,发现always语句中的敏感信号列表应该包含所有变化的值,而我的敏感列表仅仅有地址,没有实例化的数组,这样的化数组内容改变,敏感列表中并不包含,应该把always()中的内容写为*

问题二:

提交后错误如图

img

发现num_write赋值错误,检查我的代码,发现如下:

.num_write(instruction[15:10])  , //写寄存器编号

再次比对实验指导书,发现rd子段应该是instruction[15:11],修改后,问题解决。

问题三:

正确定义宏,但是在使用过程中报错误。

img

上网查询资料,发现应该在应用宏定义的时候,在宏名称前面加上 ` 符号

问题四:

随着指令ALU操作的指令定义数目增加,如果在每一个文件中拷贝相同的宏定义,那么容易遗漏,且可读性极差。

解决:学习C语言中的#include,在verilog中,生成一个header.v文件,把宏定义均放在这一个文件中,然后在需要使用宏定义的文件中开头输入:

`include "header.v"

问题五:

查阅对应的instruction,其表示slt。通过对比GPRa和GPR.b,发现我的程序实现的是无符号数字的比较,

image-20230620163447767

解决办法:在网络中查阅资料得知,有三种方法可以进行有符号数比较。

  1. 在声明变量的时候采用wire signed [31:0]

  2. 在进行比较之前,采用系统任务把无符号的数转化为有符号的数字

     if($signed(a) < $signed(b)) 
    
  3. 分析数字的表示进行比较
    如果符号位相同,那么真实的大小与补码直接按照无符号数字比较的结果是相同的。
    如果符号位不同,那么负数一定小于正数

    assign a[31] == b[31] ? a < b : b[31] == 0;
    

    在代码中:
    a[31] == b[31] 时,意味着 ab 具有相同的符号,直接比较a,b的大小;
    否则(符号位不同),通过比较 b[31] == 0 可以判断 b 是否为正数,如果b为正数,那么a一定小于b

问题六:

如下图

image-20230620192426786

标红部分为测试文件第一行,在测试文件中,是通过系统任务直接写入值的,面对一个地址,我读出的值与系统实现存入的有冲突,推测问题处在dm的读数指令中。检查dm的读数指令,

data_memory[address[9:0]] <= data_in;

发现是取addr的时候取错了,由于数据存储器仅仅支持4个字节一起读取,一个data_memory就代表着四个直接,所以应该更改为:

data_memory[address[11:2]] <= data_in;

问题七:

在编译平台上遇到的gpr_write的值一直都是1

解决方法:首先检查控制模块中s_write_gpr的设置。虽然s_write_gpr的信号正确设置,但是gpr_write的值仍然为1.

然后检查顶层文件,发现在顶层文件中出现问题,在gpr的write_gpr的参数直接填写了1’b1,而不是s_write_gpr

启示:在之后的编码中,不应该随意使用常数,如果要让某一个值为1,可以设置assign,使得变量强制为1,而不是在调用子模块的端口处直接使用常数。

问题八:

写testbench的时候,发现使用$readmemh之后,并在IM中写入应有的值。

问题代码:

$readmemh("D:\hcb\computer_composition\class2\inst", S_CYCLE_CPU.IM.ins_memory);

IM的数据并没有别写入,所以全部都是未知态

img

解决方法:仔细检查问题代码,根据C语言的知识进行类比,发现在绝对路径中,使用了反斜杠,而反斜杠会把后面的字符进行转义,和后面的字母一起构成一个特殊字符。

而在windows中,绝对地址一般采用反斜杠进行表示,所以我在代码中使用两个反斜杠代表反斜杠这一个字符,即:

'\\'表示字符\
$readmemh("D:\\hcb\\computer_composition\\class2\\inst", S_CYCLE_CPU.IM.ins_memory);

问题九

指令跳转错误

在提交我的作业文件后,显示运行超时,无法通过在线平台分析我的代码。

所以我采用自己写testbench的方式进行调试。

下图是我写的测试文件(对于第二条指令,由于IM仅仅关心地址的低位,所以高位无需理睬,可以在测试的环境中置位0)

img

进行仿真,得到下图

image-20230621195044218

对于上图中,发现无论对于当前地址是什么,下一条指令地址始终是0x00,怀疑是图中的多路选择器(蓝色方框)出现问题。

image-20230621195448768

对于控制信号中的s_npc进行显示,发现其竟然不是向量

img

查看对应的源代码(ctrl模块):

output reg s_npc,

在ctrl的控制模块,声明出现错误。

更改s_npc指令,使为为位宽为2的向量,然后对于MUX的控制信号从一位变为两位的所有信号进行排查。

下图为更改之后的波形图

image-20230621195745623

发现控制信号正常,但是实际跳转并不正常,然后对于连接到npc的4选1多路选择器进行查看。

如图:

img

在这一步调试的过程发现两个错误(使用白色方框框出来的)

首先:Sel信号位数错误,更正mux4to1多选器中的位数为两位向量

img

然后:发现In0信号接线错误,在顶层模块中的该MUX的实例接线处检查,in0接线为beq_addr,如下图:

image-20230621200232488

其是relative_jump_addr_calc模块的输出。进而检查relative_jump_addr_calc模块的module代码,发现addr的位数声明错误。如下图:

image-20230621200355359

经过修改,发现可以正常跳转,波形正常。

img

可以按照程序执行的顺序逻辑进行跳转。

但是与此同时,又发现了一个问题,即对于绝对跳转指令,s_npc设置错误

检查发现,加法指令的op字段与寄存器跳转指令的op字段均为6’b0,所以,这时候应该看指令的func字段。

所以说:jr指令的op字段和R型指令相同,应该在op字段为000000的内部对func字段进行判断。

问题十:

仿真过程中发现信号为红色的

img

检查对应信号,发现并不要紧,这正是我的优化措施,当s_npc不选择beq指令跳转时,我们就不需要关心alu的zero到底输出什么,所以符合要求。

即:红色的信号不被MUX所选择,所以其值无关紧要,这样可以使得组合逻辑中对应真值表成为无关项,进而使得编译器可以进行更好的优化,生成更加合理的电路。

实验收获

在这一个实验中我有下面的收获:

  1. 首先,我对于MIPS的一个子集的指令有了较为熟悉的了解,我在这一次实验中,采用归类的思想,在CPU中,一次性对于一类指令进行添加,这对我理解MIPS的R型,J型,I型指令的格式具有很大的帮助。
    其中:
    R型指令包括了操作码、源寄存器、目标寄存器以及移位位数,func字段,着重对寄存器中的数字进行操作。
    J型指令包括了操作码和立即数,可以改变程序的执行逻辑。
    I型指令包括操作码,源寄存器,目标寄存器,立即数,可以让在汇编指令中给定的立即数进行运算。
  2. 我还掌握了CPU的数据通路的总体架构。其是有PC寄存器,指令存储器,算术逻辑单元,存储器等等单元构成的,其仅仅负责计算,属于组合逻辑。从一个输入到输出是有延时的,所以需要有时钟以及控制信号来控制数据通路进行运作。
  3. 我还对于控制器的控制信号的产生有了更深刻的了解。在进行实验课之前,我对于控制器感到神秘莫测,通过这一次实验,我认识到,控制器的输入就是取出来的指令,但是仅仅有指令的高6位(op字段)以及低6位(func)字段参与译码。
    通过在实验步骤中把ctrl模块的各种控制信号罗列出来,我了解了对于某一个确切的指令,应该沿着其数据通路,分析应该给予这条指令的控制信号。
  4. 我明白了层次化设计的必要性。在实验开始之前,看着复杂的数据通路以及控制通路,感觉无从下手。但是在第一次课中,我学习了如何设计指令存储器(IM)、程序计数器(PC)、只能进行加法运算的算术逻辑单元(ALU)和通用寄存器组(GPR)等等元件的设计。在设计好之后,仅需要按照电路,在顶层模块中接线即可,而无需关心每一个模块的具体的功能。通过一步一步的设计和一层一层的构建,我深刻地体会到模块化设计的好处。通过这种设计方式,可以使系统的整体结构更加清晰,更具有可读性。
  5. 我理解了存储程序的设计思想。在存储程序中,程序和数据都是以二进制方式存储在存储器中的,计算机可以区分二者。在程序执行的过程之中,计算机能够高速地从存储器中提取指令并加以分析和执行。
    如果要是没有存储程序,那么ALU的运算就得使用按钮来进行实时地指挥,而由于存储程序思想,仅需要在开始存入程序,程序就可以高速执行,无需人为干预。
    在本次实验之中,我仅需要把机器代码存入到指令存储器中,然后就可以对CPU进行分析,而不需要一直告诉CPU其所需要的操作。
  6. 我可以采用verilog进行较为复杂的工程设计。在数字逻辑中,我仅仅写了有限状态机,D触发器,计时器等等简单的内容,系统性的思维不够强。在这一次的实验中,我通过模块来对不同的器件进行管理,并且通过对各个模块的编写,加深了基本的组合逻辑,锁存器等模块的理解。
  7. 我学会了使用Mars编写汇编,生成汇编。Mars能够将汇编代码转换为机器码,并以仿真的方式执行程序。
    通过Mars,我可以对一段代码进行仿真,得出其的正确运行情况,然后导出机器码到16进制Text,然后再利用verilog testbench加载机器码到指令存储器,即可进行仿真。
  8. 我可以数列使用波形仿真,添加相应的波形。使用波形仿真是写verilog必会的一项技能,通过这一次的实验,我学会了对于具有大量信号的module进行添加显示的波形,并设置其值的显示进制。这对我分析和解决程序中的错误具有很大的帮助。
  9. 我了解了testbench的高级用法
    我在第一节课的预习报告中了解了testbench的高级用法。
    主要是系统任务的使用。其中,$stop可以在进行一段时间的仿真后自动停止仿真,而不需要一直手动终止波形仿真。$memreadh可以把文件中的数据逐行读入到存储单元中,$display 任务在仿真过程中使用,以便于调试和观察变量的值。$monitor相比于$display,在仿真过程中可以实时监视信号的值,并在其发生变化的时候进行输出。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1345452.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

leetcode 315. 计算右侧小于当前元素的个数(hard)【小林优质解法】

链接&#xff1a;力扣&#xff08;LeetCode&#xff09;官网 - 全球极客挚爱的技术成长平台 代码&#xff1a; class Solution {int[]counts; //用来存储结果int[]index; //用来绑定数据和原下标int[]helpNums; //用于辅助排序 nums 数组int[]helpIndex; //用于辅助排序 i…

Javaweb之Mybatis入门的详细解析

Mybatis入门 前言 在前面我们学习MySQL数据库时&#xff0c;都是利用图形化客户端工具(如&#xff1a;idea、datagrip)&#xff0c;来操作数据库的。 在客户端工具中&#xff0c;编写增删改查的SQL语句&#xff0c;发给MySQL数据库管理系统&#xff0c;由数据库管理系统执行S…

分享好用稳定快递查询api接口(对接简单)

提供实时查询和自动识别单号信息。稳定高效&#xff0c;调用简单方便&#xff0c;性价比高&#xff0c;一条链接即可对接成功。 使用数据平台该API接口需要先注册后申请此API接口。申请成功后赠送免费次数&#xff0c;可直接在线请求接口数据。 接口地址&#xff1a;https://…

迭代归并:归并排序非递归实现解析

&#x1f3ac; 鸽芷咕&#xff1a;个人主页 &#x1f525; 个人专栏: 《数据结构&算法》《粉丝福利》 ⛺️生活的理想&#xff0c;就是为了理想的生活! &#x1f4cb; 前言 归并排序的思想上我们已经全部介绍完了&#xff0c;但是同时也面临和快速排序一样的问题那就是递…

『亚马逊云科技产品测评』活动征文|云服务器如何快速搭建个人博客(图文详解)

授权声明&#xff1a;本篇文章授权活动官方亚马逊云科技文章转发、改写权&#xff0c;包括不限于在 Developer Centre, 知乎&#xff0c;自媒体平台&#xff0c;第三方开发者媒体等亚马逊云科技官方渠道 文章目录 引言一、前期准备步骤1.1 准备一个亚马逊 EC2 服务器1.2 进入控…

Stimulsoft BI Designer 2024.1.2 Crack

Stimulsoft BI Designer Do you want to create reports and dashboards, but you do not need to create your application? We want to offer you a great solution – Stimulsoft Designer! All you need is to connect your data, drag it onto the template page, config…

uniapp打包Android、Ios、微信小程序

首先我们需要在我们的代码中&#xff0c;把我们所要用到的配置信息配置好&#xff0c;在检查一下我们测试的内容是否有打开&#xff08;取消注释&#xff09;&#xff0c;在检查一下我们的版本信息是否正确&#xff0c;查看一下接口ip是否是正式线 这里的配置信息一定要配置好…

二进制、位运算和掩码运算,小白鼠测试示例

1. 二进制 二进制是一种基于两个数字0和1的数制系统。它可以表示两种状态&#xff0c;即开和关。所有输入电脑的任何信息最终都要转化为二进制。目前通用的是ASCII码。最基本的单位为bit。 在计算机科学中&#xff0c;二进制是最常用的数制系统&#xff0c;因为计算机内部的所…

【MySQL】主从异步复制配置

您好&#xff0c;我是码农飞哥&#xff08;wei158556&#xff09;&#xff0c;感谢您阅读本文&#xff0c;欢迎一键三连哦。 &#x1f4aa;&#x1f3fb; 1. Python基础专栏&#xff0c;基础知识一网打尽&#xff0c;9.9元买不了吃亏&#xff0c;买不了上当。 Python从入门到精…

解锁大数据世界的钥匙——Hadoop HDFS安装与使用指南

目录 1、前言 2、Hadoop HDFS简介 3、Hadoop HDFS安装与配置 4、Hadoop HDFS使用 5、结语 1、前言 大数据存储与处理是当今数据科学领域中最重要的任务之一。随着互联网的迅速发展和数据量的爆炸性增长&#xff0c;传统的数据存储和处理方式已经无法满足日益增长的需求。…

LabVIEW在大型风电机组状态监测系统开发中的应用

LabVIEW在大型风电机组状态监测系统开发中的应用 风电作为一种清洁能源&#xff0c;近年来在全球范围内得到了广泛研究和开发。特别是大型风力发电机组&#xff0c;由于其常常位于边远地区如近海、戈壁、草原等&#xff0c;面临着恶劣自然环境和复杂设备运维挑战。为了提高风电…

C语言函数篇——scanf()函数介绍

好的&#xff0c;让我们以输入/输出函数中的scanf()为例&#xff0c;来详细介绍并展示其应用案例。 scanf()函数介绍&#xff1a; scanf()函数是C语言中用于从标准输入&#xff08;通常是键盘&#xff09;读取数据的函数。它可以从用户处获取各种类型的数据&#xff0c;并将其…

11|代理(上):ReAct框架,推理与行动的协同

11&#xff5c;代理&#xff08;上&#xff09;&#xff1a;ReAct框架&#xff0c;推理与行动的协同 在之前介绍的思维链&#xff08;CoT&#xff09;中&#xff0c;我向你展示了 LLMs 执行推理轨迹的能力。在给出答案之前&#xff0c;大模型通过中间推理步骤&#xff08;尤其…

QT上位机开发(倒计时软件)

【 声明&#xff1a;版权所有&#xff0c;欢迎转载&#xff0c;请勿用于商业用途。 联系信箱&#xff1a;feixiaoxing 163.com】 倒计时软件是生活中经常遇到的一种场景。比如运动跑步&#xff0c;比如学校考试&#xff0c;比如论文答辩等等&#xff0c;只要有时间限制规定的地…

电影《海王2》观后感

上周看了电影《海王2》&#xff0c;整体特效和打斗还是非常不错的&#xff0c;自己在写文章的时候&#xff0c;看完电影已经一周了&#xff0c;相当于是叙事自我在描述这段经历。 &#xff08;1&#xff09;体验自我VS叙事自我 首先简单说明下“体验自我”和“叙事自我”&…

Redis经典五大类型源码及底层实现(二)

&#x1f44f;作者简介&#xff1a;大家好&#xff0c;我是爱吃芝士的土豆倪&#xff0c;24届校招生Java选手&#xff0c;很高兴认识大家&#x1f4d5;系列专栏&#xff1a;Spring源码、JUC源码、Kafka原理、分布式技术原理、数据库技术&#x1f525;如果感觉博主的文章还不错的…

Cuk、Zeta和Sepic开关电源拓扑结构

Cuk、Zeta和Sepic变换器,三种拓扑结构大致类似。不同点在于电感和二极管&#xff0c;MOS管的位置关系的变化。 Cuk电源是一种非隔离的直流电源转换器&#xff0c;其基本结构包括输入滤波电容、开关管、输入电感、输出电感和输出电容等元件。Cuk电路可以看作是Boost和Buck电路的…

【CFP-专栏2】计算机类SCI优质期刊汇总(含IEEE/Top)

一、计算机区块链类SCI-IEEE 【期刊概况】IF:4.0-5.0, JCR2区&#xff0c;中科院2区&#xff1b; 【大类学科】计算机科学&#xff1b; 【检索情况】SCI在检&#xff1b; 【录用周期】3-5个月左右录用&#xff1b; 【截稿时间】12.31截稿&#xff1b; 【接收领域】区块链…

基于轻量级GhostNet模型开发构建生活场景下生活垃圾图像识别系统

轻量级识别模型在我们前面的博文中已经有过很多实践了&#xff0c;感兴趣的话可以自行移步阅读&#xff1a; 《移动端轻量级模型开发谁更胜一筹&#xff0c;efficientnet、mobilenetv2、mobilenetv3、ghostnet、mnasnet、shufflenetv2驾驶危险行为识别模型对比开发测试》 《基…

Dragonfly-SM X9H核心板 SM6700Q PMIC供电配置烧录介绍

一、概述 核心板采用 1 片芯迈 SM6700Q PMIC 芯片搭配 3 片 MPQ8861 DCDC 电源芯片和 2 片安森美 LDO&#xff0c;型号分别 NCV8164ASN180T1G 和 NCV8130BMX080TCG 为系统供电。 二、核心板供电框图 系统供电主要是 MCU 的 RTC 域、安全域、应用域的供电&#xff0c;其中 RTC 域…