vivado时序方法检查10

news2024/10/7 6:37:34
TIMING-41 内部管脚上定义的前向时钟无效
前向时钟 <clock_group> 是在管脚 <netlist_element> 上定义的 而不是在端口 <netlist_element> 上定义的。
描述
前向时钟是在连接到输出端口的叶节点管脚上定义的 而不是在输出端口本身上定义的。为了正确执行 I/O 时序计算, 前向时钟应在输出端口上定义。
解决方案
复查生成时钟约束 将前向时钟定义移至输出端口而不是内部叶节点管脚。
TIMING-42 在时钟树中检测到路径分段
<message_string> 延迟约束位置 <message_string> 当前正在管脚 <netlist_element> 上阻塞
<clock_group> 的传输。
描述
由于最小 / 最大延迟时序约束中的起点或端点无效 导致时钟树上检测到路径分段。发生此类情况时 本工具会对此无效管脚强制禁用时序弧 (timing arc) 以阻止时钟传输。这将导致时序验收不准确 并且设计可能在硬件中失败。
解决方案
复查应用于时钟树的最小 / 最大延迟约束 仅指定有效的起点和端点。
TIMING-43 千兆位收发器 (GT) 上存在最小周期或最小 脉冲宽度违例
GT 管脚 <instance/pin> 存在 MIN_PERIOD MIN_PULSE_WIDTH 违例。对于 GT 实例 Power Analysis Report” 功耗分析报告 并不准确。
描述
GT 时钟管脚上的最短周期检查可确保驱动 GT 实例的时钟的运行频率不高于原语内部硬件所能承受的频率。如果发生违例, 设计在硬件中可能发生时序收敛失败 功耗分析报告将变得不准确。
解决方案
要解决此违例 请检查相关器件系列的 AC DC 特性数据手册 查找此原语管脚允许的最大频率。
TIMING-44 不合理的用户时钟内部不确定性
<clock_name> 时钟上定义了 <delay> ns 用户时钟不确定性 请参阅 Vivado IDE 的“ Timing Constraint ”窗口中的约束位置 <position> 。用户时钟不确定性过高可能对时序收敛造成负面影响。请复查所需的用户时钟不确定性的量。
描述
不建议在 2 个时钟之间定义过高的用户时钟不确定性 因为它会影响时序收敛、编译时间和 QoR 。它还可能影响功耗, 导致无法收敛时序。
解决方案
复查用户时钟间不确定性 将其降低至所需的最小值。
TIMING-45 不合理的用户时钟间不确定性
<clock_name> 时钟与 <clock_name> 时钟之间定义了 <delay> ns 用户时钟不确定性 请参阅 Vivado IDE 的 “Timing Constraint ”窗口中的约束位置 <position> 。用户时钟不确定性过高可能对时序收敛造成负面影响。请复查所需的用户时钟不确定性的量。
描述
不建议定义过高的用户时钟内部不确定性 因为它会影响时序收敛、编译时间和 QoR 。它还可能影响功耗 导致无法收敛时序。
解决方案
复查用户时钟内部不确定性 将其降低至所需的最小值。
TIMING-46 多周期路径含绑定 CE 管脚
在具有直接连接的寄存器 <cell_name1> <cell_name2> 之间定义了一条或多条多周期路径 并且 CE 管脚连接到 VCC 。这可能导致路径要求不准确。
描述
源寄存器和目标寄存器的 CE 管脚不受动态信号控制 CE 管脚绑定到 VCC 。由于在源寄存器与目标寄存器之间存在直接数据路径连接, 因此基于单周期路径要求来捕获此路径上的数据。路径上定义的多周期路径并不表示硬件中的行为, 并且可能导致硬件中设计失败。
解决方案
应复查路径和时序约束。如果预计将绑定 CE 管脚 则需移除多周期路径。如果预计路径将成为多周期路径 那么源寄存器和/ 或目标寄存器的 CE 管脚应由动态信号驱动 此信号根据提供的多周期约束来进行切换。
示例
以下约束是由用户编写的 此约束应用于 2 个寄存器之间的数据路径 如以下板级原理图所示 其中目标寄存器的 CE管脚连接到 VCC
set_multicycle_path -setup -end -from [get_clocks -of [get_ports -
scoped_to_current_instance s_axi_ctrl_aclk]] -to [get_clocks -of [get_ports
-scoped_to_current_instance s_axi_hwa_aclk]] 2
在超出多周期路径作用域的每个时钟沿上检查是否发生数据更改。如有更改 请确保添加所需的逻辑 绑定到 CE 管脚)
下图显示了触发器到触发器路径 其中正确的时钟使能逻辑绑定到 CE 管脚 这样即可按交替时钟周期使能触发器
set_multicycle_path 2 -setup -from [get_pins data0_reg/C] -to [get_pins
data1_reg/D]

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1296985.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【python可视化系统源码】基于爬虫与可视化的电影推荐系统课题背景、目的、意义、研究思路、研究方法

该项目含有源码、文档、PPT、配套开发软件、软件安装教程、项目发布教程等学习内容。 目录 一、项目介绍&#xff1a; 二、文档学习资料&#xff1a; 三、模块截图&#xff1a; 四、开发技术与运行环境&#xff1a; 五、代码展示&#xff1a; 六、数据库表截图&#xff1a…

小红书品牌投放须知,家居产品软文怎么写?

家居产品软文&#xff0c;是一种展示家居产品的文案写作形式。优秀的家居产品软文能够通过引人入胜的文字&#xff0c;吸引受众的注意力并激发他们选购家居产品的兴趣。今天我们来为大家分享一下小红书品牌投放须知&#xff0c;家居产品软文怎么写&#xff1f; 一、关键词布局 …

【数值计算方法(黄明游)】函数插值与曲线拟合(一):Lagrange插值【理论到程序】

​ 文章目录 一、近似表达方式1. 插值&#xff08;Interpolation&#xff09;2. 拟合&#xff08;Fitting&#xff09;3. 投影&#xff08;Projection&#xff09; 二、Lagrange插值1. 天书2. 人话拉格朗日插值方法a. 线性插值&#xff08;n1&#xff09;基本思想线性插值与线…

使用FFMPEG转码,转单声道,转标准WAV,转PCM

本文为使用FFMPEG命令行的方式处理音频&#xff0c;来获取想要得到的音频文件。 零、使用命令行查看编码封装信息 格式&#xff1a;ffprobe.exe -show_format inputfile 例子&#xff1a;ffprobe.exe -show_format .\stereo_44_16bit.wav 运行结果为下图&#xff1a; 如图可…

实现:切换页面切换标题,扩展 vue-router 的类型

布局容器-页面标题 网址&#xff1a;https://router.vuejs.org/zh/guide/advanced/meta 给每一个路由添加 元信息 数据 router/index.ts const router createRouter({history: createWebHistory(import.meta.env.BASE_URL),routes: [{ path: /login, component: () > im…

用什么台灯对眼睛最好?考公护眼台灯推荐

之前我一直觉得&#xff0c;孩子近视&#xff0c;是因为玩手机太多&#xff0c;看电子产品的时间过长&#xff0c;但后来控制孩子看电子产品时间的触底反弹与越来越深的度数告诉我&#xff0c;孩子近视的真正原因&#xff0c;我根本没有找到&#xff0c;后来看到一篇报告&#…

【Windows】安装 Apache服务 -- 实操详细版

&#x1f468;‍&#x1f393;博主简介 &#x1f3c5;云计算领域优质创作者   &#x1f3c5;华为云开发者社区专家博主   &#x1f3c5;阿里云开发者社区专家博主 &#x1f48a;交流社区&#xff1a;运维交流社区 欢迎大家的加入&#xff01; &#x1f40b; 希望大家多多支…

uniapp 数组添加不重复元素

一、效果图 二、代码 //点击事件rightBtn(sub, index) {console.log(sub, index)//uniapp 数组添加不重复元素if (this.selectList.includes(sub.type)) {this.selectList this.selectList.filter((item) > {return item ! sub.type;});} else {this.selectList.push(sub.t…

Sublime Text 卡顿

复制下方代码&#xff0c;保存后重启Sublime Text {"non_blocking" : "true","live_mode" : "false" }

虾皮免费分析工具:了解市场趋势、优化产品和店铺运营

在如今竞争激烈的电商市场中&#xff0c;了解市场趋势、优化产品和店铺运营对于卖家来说至关重要。虾皮&#xff08;Shopee&#xff09;作为一家知名的电商平台&#xff0c;为卖家提供了一些免费的分析工具&#xff0c;帮助他们更好地了解市场情况并做出明智的决策。本文将介绍…

tomcat篇---第二篇

系列文章目录 文章目录 系列文章目录前言一、tomcat容器是如何创建servlet类实例?用到了什么原理?二、tomcat 如何优化?三、熟悉tomcat的哪些配置?前言 前些天发现了一个巨牛的人工智能学习网站,通俗易懂,风趣幽默,忍不住分享一下给大家。点击跳转到网站,这篇文章男女…

肖sir__ 项目讲解__项目数据

项目时间&#xff1a; 情况一&#xff1a;项目时间开始到上线的时间&#xff0c;这个时间一般比较长&#xff08;一年&#xff0c;二年&#xff0c;三年&#xff09; 情况二&#xff1a;项目的版本的时间或则是周期&#xff08;1个月&#xff0c;2个月&#xff0c;3个月&…

fl studio2024水果21.3免费汉化版

fl studio2024全称Fruity Loops Studio2024&#xff0c;这款软件也被人们亲切的称之为水果&#xff0c;它是一款功能强大的音乐创作编辑软件&#xff0c;拥有全功能的录音室&#xff0c;大混音盘以及先进的音乐制作工具&#xff0c;用户通过使用该软件&#xff0c;就可以轻松制…

字节跳动ZNS SSD应用案例解析

一、ZNS SSD基本原理 ZNS SSD的原理是把namespace空间划分多个zone空间&#xff0c;zone空间内部执行顺序写。这样做的优势&#xff1a; 降低SSD内部的写放大&#xff0c;提升SSD的寿命 降低OP空间&#xff0c;host可以获得更大的使用空间 降低SSD内部DRAM的容量&#xff0c;…

【数据结构】单调栈与单调队列算法总结

单调栈 知识概览 单调栈最常见的应用是找到每一个数离它最近的且比它小的数。单调栈考虑的方式和双指针类似&#xff0c;都是先想一下暴力做法是什么&#xff0c;然后再挖掘一些性质如单调性&#xff0c;最终可以把目光集中在比较少的状态中&#xff0c;从而达到降低时间复杂…

Web应用JSON数据保护(密码算法、密钥、数字签名和数据加密)

1.JSON&#xff08;JavaScript Object Notation&#xff09; JSON是一种轻量级的数据交换格式&#xff0c;采用完全独立于编程语言的文本格式来存储和表示数据。JSON通过简单的key-value键值对来描述数据&#xff0c;可以被广泛用于网络通信、数据存储等各种应用场景&#xff0…

通过案例讲解MATLAB中的数据类型

欢迎关注博主 Mindtechnist 或加入【智能科技社区】一起学习和分享Linux、C、C、Python、Matlab&#xff0c;机器人运动控制、多机器人协作&#xff0c;智能优化算法&#xff0c;贝叶斯滤波与Kalman估计、多传感器信息融合&#xff0c;机器学习&#xff0c;人工智能&#xff0c…

【算法题】一种字符串压缩表示的解压(js)

输入&#xff1a;2dff 输出 !error 两个d不需要压缩&#xff0c;故输入不合法 输入:4eA 输出:!error 全部由小写英文字母组成&#xff0c;压缩后不会出现&#xff0c;故输出不合法 function solution(str) {const error "!error";// 只能包含小写字母和数字 [^a-z0…

[gRPC实现go调用go]

1什么是RPC RPC&#xff1a;Remote Procedure Call&#xff0c;远程过程调用。简单来说就是两个进程之间的数据交互。正常服务端的接口服务是提供给用户端(在Web开发中就是浏览器)或者自身调用的&#xff0c;也就是本地过程调用。和本地过程调用相对的就是&#xff1a;假如两个…

ardupilot开发 --- git 篇

一些概念 工作区&#xff1a;就是你在电脑里能看到的目录&#xff1b;暂存区&#xff1a;stage区 或 index区。存放在 &#xff1a;工作区 / .git / index 文件中&#xff1b;版本库&#xff1a;本地仓库&#xff0c;存放在 &#xff1a;工作区 / .git 中 关于 HEAD 是所有本地…