HNU-电路与电子学-2021期末A卷(不含解析)

news2024/9/23 23:35:10

【写在前面】


电路与电子学好像是从2020级开设的课程,故实际上目前只有2020与2021两个年级考过期末考试。

本份卷子的参考性很高,这是2020级的期末考卷。题目都是很典型的,每一道题都值得仔细研究透。

特别注意:看得懂答案跟写得出来不是一回事,复习时写出来和考场上写出来又不是一回事

由于当时自己做的答案未及时整理,已经散佚不可考。故不附答案,读者可以自己试做,整理答案。

这张卷子有一道模拟电路的题目,根据老师划定考不考来决定做不做。

一、(5 分)

Verilog 三进程法设计一个同步时序电 路,该电路有两个输入 RDY Reset ,一个输出 Z , 其状态图如右图所示。

二、(10 分)

用两个 4 位行波加法器和适当的逻辑门设
计一个 4 位十进制加法器。加数、被加数以及结果均用 8421 码表示。要求画出电路图,
并给出必要的说明。行波加法器可以用符号表示。(提示:可先考虑把加数和被加数直接
相加,然后再对结果进行修正)

三、(共 15 分)

重新设计下图所示电路以减少成本。
1 、做出这个电路的状态表,并将每个状态编码用一个字母来表示。在原设计中状态
Y1Y2Y3 100 111 未被使用。( 5 分)
2 )检查并合并等价状态。( 5 分)
3 )对状态进行赋值(使得输出是某一个状态变量),并写出触发器激励方程和电路
输出方程。( 5 分)

四、(共 20 分)

两位输入 XY 按照“ 00, 01, 11, 10” 的顺序输入,其中任意一个组合可以重复任意
次,而且“ 10” 是最后出现的输入组合。设计一个 Moore 型序列检测器,当出现这样的输
入序列时,电路的输出 Z 1 。要求:
1 、做出电路的 状态机图 ;( 5 分)
2 、做出用 表达式表示 的状态表;( 9 分)
3 、使用 D 触发器,并采用单热点编码法进行状态分配(可以不考虑未用状态),写
出各个触发器的激励方程和电路的输出方程;( 6 分)

五、(共15 分)

针对下图所示的反相放大电路一般化模型,计算电压增益 v o / v s ,并求出当 R i ∞、
R o 0 A ∞时,电压增益的简化形式。这个简化的表达式与理想反相放大电路的电压增
益表达式有何不同?

六、(共 10 分)

分析下图所示电路的同步问题。设电路当前状态 Q1Q2Q3 111 XY 的当前值
10
1 、如果 XY 在远离时钟有效跳变时从 10 变为 01 ,电路的下一状态是什么?( 3 分)
2 、若 X 在远离时钟有效跳变时从 1 变为 0 Y 在离时钟有效跳变很近时从 0 变为 1
电路的下一状态可能出现什么异常?( 5 分)
3 、如何才能比较好地解决异常问题?( 2 分)

七、(共 15 分)

用迭代法设计一个比较两个 4 位无符号数 A B 的组合逻辑电路。如果 A≥B
则输出 Z=1 ,否则 Z=0 。对两个 1 位数进行比较的单元电路由下图所示的一个 FPGA 逻辑
块实现。要求:
1 、列出单元电路的真值表;( 5 分)
2 、确定单元电路对应 FPGA 逻辑块各个控制位的值。 ( 6 分)
3 、画出整个电路的逻辑图,其中 FPGA 逻辑块仅用符号表示即可。( 4 分)

八、(共10 分)

用逻辑门、多路复用器和 D 触发器设计一个 4 位计数器,功能要求如下表所示。
要求画出计数器中某一级(某一位)的逻辑图。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1285456.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【C++】map和set的使用及注意事项

map和set的使用及注意事项 1.关联式容器2. 键值对3.set3.1接口介绍3.1.1构造3.1.2迭代器3.1.3容量3.1.4修改 3.2set使用及注意事项 4.multiset5.map6.multimap349. 两个数组的交集 1.关联式容器 在初阶阶段,我们已经接触过STL中的部分容器,比如&#xf…

【EI会议征稿中】2024年第四届数字信号与计算机通信国际学术会议(DSCC 2024)

2024年第四届数字信号与计算机通信国际学术会议(DSCC 2024) 2024 4th International Conference on Digital Signal and Computer Communications 第四届数字信号与计算机通信国际会议(DSCC 2024)将于2024年4月12日至14日在中国-香港举行。DSCC 2024旨…

什么是Amazon Lambda(无服务器计算服务)

Lambda 在高可用性计算基础设施上运行代码,用于执行计算资源的所有管理工作。这包括服务器和操作系统维护、容量调配和弹性伸缩、代码和安全补丁部署以及代码监控和日志记录。您只需要提供代码。 最近亚马逊云服务提供了超多免费的云服务,快来领取免费套…

Shein、Temu拓荒背后,中国快递业“卷”向海外

【潮汐商业评论/原创】 在中国互联网行业,软银创始人孙正义有一个耳熟能详的“时光机理论”,意思是充分利用不同国家和行业之间发展的不平衡,在发达市场获取经验,然后利用这些经验去开发落后的市场。从发达市场到落后市场的穿越&…

人体姿态估计算法

人体姿态估计算法 1 什么是人体姿态估计2 基于经典传统和基于深度学习的方法2.1 基于经典传统的人体姿态估计算法2.2 基于深度学习的人体姿态估计算法OpenPoseAlphaPose (RMPE) 3 算法应用4 Paper 人体姿态估计在现实中的应用场景很丰富,如下 动作捕捉:三…

小程序开发

1.准备工作 1.注册公众平台账号(免费)2.下载小程序开发工具(免费) 2.开发步骤 1.第一个小程序 1.小程序的AppID相当于小程序平台的一个身份证,这里使用的是测试号(注意区别于服务号或订阅号的AppID&#xf…

浪潮信息KeyarchOS——保卫数字未来的安全防御利器

浪潮信息KeyarchOS——保卫数字未来的安全防御利器 前言 众所周知,目前流行的操作系统有10余种,每一款操作系统都有自己的特点。作为使用者,我们该如何选择操作系统。如果你偏重操作系统的安全可信和稳定高效,我推荐你使用浪潮信…

服务器巡检表

《服务器巡检表》检查项: 1、系统资源 2、K8S集群 3、Nginx 4、JAVA应用 5、RabbitMQ 6、Redis 7、PostgreSQL 8、Elasticsearch 9、ELK日志系统 获取软件开发全套资料进主页。

连夜整理的6个开源项目,都很实用

偶然找到的这个宝藏网站,站内集齐了大量的开源项目。 推荐实用的项目 1、vueNextAdmin 基于 vue3.x CompositionAPI setup 语法糖 typescript vite element plus vue-router-next pinia 技术,适配手机、平板、pc 的后台开源免费模板,…

分享67个节日PPT,总有一款适合您

分享67个节日PPT,总有一款适合您 67个节日PPT下载链接:https://pan.baidu.com/s/1oU-UUCV_69e8Gp5Y6zrzVA?pwd6666 提取码:6666 Python采集代码下载链接:采集代码.zip - 蓝奏云 学习知识费力气,收集整理更不易…

k8s部署单机模式的minio

k8s部署单机模式的minio 一、说明二、yaml内容三、步骤3.1 创建资源3.2 查看启动日志3.2 查看svc并访问控制台 一、说明 项目使用minio,准备在k8s环境部署一套minio试用。 1.关于minio的原理和概念参考: https://mp.weixin.qq.com/s?__bizMzI3MDM5NjgwNg&mid…

vagrant up卡死问题

环境 OS:Windows 10Vagrant:Vagrant 2.2.14VirtualBox:6.1.18 r142142box:CentOS-7-x86_64-Vagrant-2004_01.VirtualBox.box 详细信息 解决办法 修改文件Vagrantfile,增加如下配置,测试可以正常启动 conf…

为什么 PostgreSQL 能代替 MongoDB?

在进行技术选型时,需要考虑众多因素,如功能、性能、可靠性、成本效益、社区支持和团队技术能力等,然而,影响最终决定的关键因素的往往是团队 Leader 的技术品味,这也能解释为什么阿里偏爱 Java,而字节跳动更…

判断一个链表是否为回文结构

📑打牌 : da pai ge的个人主页 🌤️个人专栏 : da pai ge的博客专栏 ☁️宝剑锋从磨砺出,梅花香自苦寒来 🌤️题目结构 给定一个…

Vue 理解MVVM设计模式

vue作者在最初设计vue的时候参考了mvvm这个模型。没有完全遵循mvvm,是在一定程度上遵循了这个模型。根据这个模型设计了vue里面的模型。 MVVM模型 1.M:模型(Model):对应vue代码当中data中的数据,模型就是vue里面的数据 2.V&#x…

AT COMMAND(转载)

AT(Attention)指令是由 Dennis Hayes 发明的,所以也称为 Hayes command set。AT 指令最初是用来指导 modem 工作的,后面随着技术的发展,低速 modem 已经退出了市场,但 AT 指令却不断发展,并且在…

掌握Python异步IO利器:深入解读Asyncio

更多资料获取 📚 个人网站:ipengtao.com 异步 IO 与 Asyncio 在 Python 中的应用 在当今互联网时代,处理大量并发请求或I/O密集型任务对于软件应用程序至关重要。Python的异步IO框架——Asyncio成为了处理此类问题的有力工具。本文将深入介…

吉客云与金蝶云星辰业财一体化数据集成

吉客云与金蝶云星辰业财一体化数据集成为例,探讨如何利用轻易云数据集成平台高效整合企业系统。金蝶云星辰在供应链和财务管理方面具有显著优势,而吉客云则专注于订单处理和发货。两者的协同运作,是企业数字化转型的典型案例。 二、集成总体蓝…

java:封装统一的响应体code、data、msg、paging

背景 我们在写接口的时候一般不会直接返回给前端数据,而是会有响应体,比如 code、data、msg,这样就有一个统一的结构方便前端处理,那么今天就来封装一个统一的响应体 封装基本响应体 1、在 config 包里新建 ApiResponse.java …

03、pytest初体验

官方实例 # content of test_sample.py def func(x):return x 1def test_ansewer():assert func(3) 5步骤解释 [100%]指的是所有测试用例的总体进度,完成后,pytest显示一个失败报告,因为func(3)没有返回5 注意:你可以使用ass…