一种快速设计射频功放IC流程分享

news2024/9/22 13:27:56

No.1设计目标

在功率放大器PA中,输出级以及输出匹配决定了该功放的线性度、效率等关键性能指标,通常被优先考虑。在这个项目中输出级功放关键性能指标如下:

带宽:12-13 GHz

OP1dB>13dBm

输出级 Power gain>5dB

DE_P1dB>45%

S11<-12dB

Kf>1,B1f>0

Zin/Zout: 50/50

No.2 电路架构

其中PA的线性度、效率主要由有源区尺寸、栅端偏置以及负载阻抗决定。在输出级的设计中,需要重点关注的也就是功率输出能力以及效率,而如果对增益有额外的需求,可通过级联的方式提高增益。同时对PA模块来说,Kf,B1f稳定性系数达到要求相当重要,否则PA会出现震荡的风险。

我们在这个设计中用了线上生成射频电路的工具来完成设计。下图是该线上工具提供的原理图,也是本次PA模块设计的参考原理图,其中有源区采用的是基于中和电容的共源放大架构,无源匹配网络采用基于变压器的匹配电路。

整个射频PA由有源电路,输入匹配和输出匹配 三个部分组成。

36925286-8e08-11ee-939d-92fbcf53809c.png

       

No.2 设计流程

第一步,有源模块设计

有源部分设计用了RFIC-GPT的“功率放大器有源电路生成工具”网页模块。在网页左侧填入我们需要的指标,工作频点选择中间频点。

设计关键指标中的线性度(OP1dB)和效率(DE_P1dB)主要由输出级有源区+无源区设计决定。此处设置有源模块OP1dB>13dBm,同时DE_P1dB的权重设置为80,占较大权重,增益下限设置为6dB。

点击“计算”后,不到 1分钟就完成了运行,设计计算结果显示其设计的PA的OP1dB为15.26dBm,这为加入实际的有损匹配网络留出了设计裕量。

本次设计限制的AM-AM为2dB,在功率上升的过程中出现了较大的gain boost,如若设计指标对PA的AM-AM有限制,也可以在左侧加入相应的限制条件。

在给出性能表现后,网页结果也提供了相应的MOS管、中和电容、输入电阻相关设计参数以及目标匹配阻抗,非常方便。

36b7a28e-8e08-11ee-939d-92fbcf53809c.png

下一步,我们下载并安装了该网页上的“验证电路生成工具” Prophet_tools,这个工具一次安装就可以集成在Cadence virtuoso中。然后根据网页显示的生成代码填入这个设计小程序中(图4),就可以自动生成相应的电路原理图和对应的测试TestBench(图5).

36d8bb68-8e08-11ee-939d-92fbcf53809c.png

    

36e1c23a-8e08-11ee-939d-92fbcf53809c.png

具体小程序安装参考网页安装说明,对应生成的设计TB如下:

36fd2b7e-8e08-11ee-939d-92fbcf53809c.png

可生成对应的测试原理图与相应的spectre,该原理图中包括了输入输出port,输入电阻,输入差分对管,中和电容,以及各类偏置。并使用portAdapter仿真史密斯圆图上负载阻抗,从而得到不同负载情况下PA的各类性能参数。

第二步,输出匹配网络设计

接下来要设计输出匹配网络,我只用将上一步有源模块设计中的最优阻抗填入同一网页无源设计模块“匹配电路”中,就可以直接生成无源版图及性能效果。整个过程仅用了1-2分钟。

370bb1bc-8e08-11ee-939d-92fbcf53809c.png

在将生成的文件导入设计环境后,便可以自动生成有源网络的版图并基于该版图完成无源网路的电磁仿真。

371f1bbc-8e08-11ee-939d-92fbcf53809c.png

将有源区与输出匹配网络分别创建模块并建立仿真设计的testbench,验证输出级的关键参数

372b9446-8e08-11ee-939d-92fbcf53809c.png

         

OP1dB:

3737af42-8e08-11ee-939d-92fbcf53809c.png

在加入实际的电磁仿真结果后,OP1dB相比于本身设计的有源区OP1dB下降2dB。在与同学交流后,我们猜想应该是二次谐波导致线性度的下降。

我们搭建了一个较为理想的二次谐波消除网络进行验证,电路如下:

374d5e5a-8e08-11ee-939d-92fbcf53809c.png

加入谐波消除网络后,OP1dB为15.8dBm,与Prophet软件预测的16.2dBm接近,因此验证了上述OP1dB与Prophet工具预测出现不匹配是由二次谐波带来的。

3754dd4c-8e08-11ee-939d-92fbcf53809c.png

Power Gain:

37633fea-8e08-11ee-939d-92fbcf53809c.png

和Prophet软件预测结果相比,增益相差较小,仅为0.3dB。

DE_OP1dB:

37703920-8e08-11ee-939d-92fbcf53809c.png

有源区效率大于50%,无源区效率与软件预测结果一致。

Kf&&B1f:搭建TB如下:

37812776-8e08-11ee-939d-92fbcf53809c.png

378d38cc-8e08-11ee-939d-92fbcf53809c.png

结果显示有源区直到50GHz都是无条件稳定。

第三步,输入匹配网络设计

最后我们设计输入匹配网络。与输出匹配网络类似,再次借助RFIC-GPT无源设计模块“匹配电路”,我很快完成了输入匹配网络设计。此处PA输入阻抗为39 – 51j,S11<-12dB,损耗限制小于1.5dB,匹配网络网页设计如下

37967298-8e08-11ee-939d-92fbcf53809c.png

导出GDS后,可以直接生成无源版图并进行电磁仿真提参。

37aec258-8e08-11ee-939d-92fbcf53809c.png

     

仿真S11<-15.5dB,甚至好于预期,仿真结果如下所示:

37b94746-8e08-11ee-939d-92fbcf53809c.png

         

No.3 设计结果与总结

这次设计的射频功放管的性能总结如下:

 

37d18f90-8e08-11ee-939d-92fbcf53809c.png



本设计中无源网络的版图,有源网络的前仿设计都由RFIC-GPT计算完成设计自动生成,大大缩减了设计时间,目前有源部分给的是原理图,如果也能一键出版图,那就更方便了,期待有源区版图自动生成后仿结果测评。

【以上信息由艾博检测整理发布,如有出入请及时指正,如有引用请注明出处,欢迎一起讨论,我们一直在关注其发展!专注:CCC/SRRC/CTA/运营商入库】

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1273014.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

TCP解帧解码、并发送有效数据到FPGA

TCP解帧解码、并发送有效数据到FPGA 工程的功能&#xff1a;使用TCP协议接收到网络调试助手发来的指令&#xff0c;将指令进行解帧&#xff0c;提取出帧头、有限数据、帧尾&#xff1b;再将有效数据发送到FPGA端的BRAM上&#xff0c;实现信息传递。 参考&#xff1a;正点原子启…

基于springboot实现的在线考试系统

一、系统架构 前端&#xff1a;html | js | css | jquery | bootstrap 后端&#xff1a;springboot | springdata-jpa 环境&#xff1a;jdk1.7 | mysql | maven 二、 代码及数据库 三、功能介绍 01. 登录页 02. 管理员端-课程管理 03. 管理员端-班级管理 04. 管理员端-老师管理…

【CAN通信】CanIf模块详细介绍

目录 1.内容简介 2.CanIf详细设计 2.1 CanIf功能简介 2.2 一些关键概念 2.3依赖的上下层模块 2.4 功能详细设计 2.4.1 Hardware object handles 2.4.2 Static L-PDUs 2.4.3 Dynamic L-PDUs 2.4.4 Dynamic Transmit L-PDUs 2.4.5 Dynamic receive L-PDUs 2.4.6Physi…

微信小程序 - 开发版、体验版、正式版共享本地缓存

问题描述 最近突然发现一个大问题啊&#xff0c;小程序切换版本环境的时候发现数据被污染了&#xff0c;瞬间就怀疑不同环境版本的小程序本地缓存是否共享的&#xff1f;&#xff01; 果然是&#xff01; 解决方案 我们可能马上想到解决方案就是&#xff1a;给每一个环境版本…

不想花钱用aspera?这些免费的替代方案也同样快速哦

Aspera FASP是一款高速数据传输软件&#xff0c;被广泛应用于大文件的快速传输。然而&#xff0c;Aspera FASP并不便宜&#xff0c;对于一些小型企业或个人用户来说可能无法负担。因此&#xff0c;为了满足大家的需求&#xff0c;本文将介绍一些免费且同样快速的Aspera替代方案…

【meta】Scaling Speech Technology to 1,000+ Languages

nvidia-NeMo包含TTS的模型&#xff0c;开源数据 uroma转写工具介绍 uroman转写工具 N-to-M mapping 转写的规范&#xff0c;包含一些中文-拼音&#xff0c;拉丁文-读法的规则转换。字符串匹配规则下的查字典&#xff1b; 将字母对应到发音单元 转写规范 转写过程尽量做到可…

Android Studio初学者实例:Fragment学习--仿美团外卖界面

本次课程为Fragment为主题&#xff0c;课程的示例仿美团外卖界面&#xff0c;不同于底部导航栏的Fragment案例&#xff0c;此界面分为左侧切换与顶部切换。本文先是发布代码与效果&#xff0c;后续讲解将会在后续补充。先看看效果&#xff1a; 首先是布局文件代码&#xff1a;A…

GPT带我学Openpyxl操作Excel

注&#xff1a;以下文字大部分文字和代码由GPT生成 一、openpyxl详细介绍 Openpyxl是一个用于读取和编写Excel 2010 xlsx/xlsm/xltx/xltm文件的Python库。它允许您使用Python操作Excel文件&#xff0c;包括创建新的工作簿、读取和修改现有工作簿中的数据、设置单元格格式以及编…

Typora切换字体颜色

欢迎大家到我的博客浏览。Typora切换字体颜色 | YinKais Blog最近很无聊&#xff0c;突然想起来朋友的 Typora 可以修改字体颜色&#xff0c;而我的却不能&#xff0c;我就去研究了一下&#xff0c;于是有了这一篇教学文章。 可能会有人说&#xff0c;网上大佬早有教学了&…

提升Jmeter测试效率的9种参数化方法!

jmeter工具无论做接口测试还是性能测试&#xff0c;参数化都是一个必须掌握且非常有用的知识点。参数化的使用场景: 1&#xff09;多个请求都是同一个ip地址&#xff0c;若服务器地址更换了&#xff0c;则脚本需要更改每个请求的ip 2&#xff09;注册账号&#xff0c;不允许账…

群晖NAS配置之搭建WordPress个人博客站点

群晖NAS配置之搭建WordPress个人博客站点 之前写了一些ngrok和frp给群晖nas做内网穿透&#xff0c;今天分享一下在群晖nas下安装wordpress的教程。 WordPress是一个开源的内容管理系统&#xff08;CMS&#xff09;&#xff0c;最初是用来搭建博客的&#xff0c;但后来发展成为…

离线直线度测量仪的适用范围!

离线直线度测量仪虽是智能测量设备&#xff0c;但与在线检测设备相比&#xff0c;检测速度还是较慢&#xff0c;但非常适用于需要使用的圆形轧材抽检或全检&#xff0c;生产产线不适合安装在线仪器的的厂家。 离线直线度测量仪主要用于金属棒材、管材、陶瓷管材、压辊、轧辊等产…

浅谈集中控制式预付费抄表系统设计与应用

贾丽丽 安科瑞电气股份有限公司 上海嘉定 201801 摘要:介绍一种由射频卡预付费和RS485总线组成的集中控制式预付费抄表系统,系统能准确及时地釆集处理电能计量数据并实现预付费功能。该系统简化用户电能表设计&#xff0c;使智能集中控制器具有多功能化&#xff0c;实现系统…

WP采集插件的进阶功能:输入关键词采集及定向采集实现精准筛选

WP采集插件教程&#xff1a;轻松实现全网文章采集 近年来&#xff0c;WordPress&#xff08;简称WP&#xff09;作为一款强大的网站建设工具&#xff0c;广受用户喜爱。然而&#xff0c;对于许多网站管理员来说&#xff0c;如何轻松而高效地获取全网各类文章内容成为了一个亟待…

PCB布线为什么不能走直角或锐角-笔记

PCB布线为什么不能走直角或锐角-笔记 摘要一.PCB走线在直角转弯的地方&#xff0c;信号前后部分相互影响这几个理由我们来一一分析一下传输线的直角带来的寄生电容从阻抗的角度来看直角的尖角产生放电或者电磁辐射走线直角的工艺问题 摘要 有一定熟悉画过PCB板的人或者PCB教学…

VMware通过ISO镜像安装window2016虚拟机

1.点文件->新建虚拟机 2.进入到下边页面 3.根据你的服务器硬件选择硬件兼容性 4.选择2016版本的windows(注&#xff1a;没有该版本的话选择最高版本) 5.根据你的需求选择引导设备( 启动过程&#xff1a; BIOS&#xff1a; 在计算机启动时&#xff0c;BIOS负责进行自检&#…

MySQL如何处理并发访问和高负载?

在当今互联网时代&#xff0c;面对日益增长的数据量和用户访问量&#xff0c;数据库的并发访问和高负载处理变得尤为重要。MySQL作为最流行的关系型数据库管理系统之一&#xff0c;具备许多关键技术和策略来处理并发访问和高负载&#xff0c;下面将对其进行深入探讨。 ​ 图片…

开关电源工作时,如何抑制纹波和减小高频噪声?

开关电源的纹波和噪声是一个本质问题&#xff0c;换而言之无论纹波和噪声多么小&#xff0c;也无法从根本上去除&#xff0c;再绝对的讲开关电源无论成本怎么提高&#xff0c;也无法完全达到线性电源的性能和特点。那么&#xff0c;通常抑制或减少它的做法有五种&#xff1a; …

Netty Review - 探索Pipeline的Inbound和Outbound

文章目录 概念Server CodeClient CodeInboundHandler和OutboundHandler的执行顺序在InboundHandler中不触发fire方法InboundHandler和OutboundHandler的执行顺序如果把OutboundHandler放在InboundHandler的后面&#xff0c;OutboundHandler会执行吗 概念 我们知道当boss线程监控…

SSD-FTL算法学习总结1

SSD核心技术&#xff1a;FTL算法。 1、什么是FTL? FTL是Flash Translation Layer&#xff08;闪存转换层&#xff09;&#xff0c;完成主机&#xff08;HOST&#xff09;逻辑地址空间到闪存Flash物理地址空间的翻译,或者说映射&#xff08;Mapping&#xff09;。 FTL算法分成…