HCIA-Datacom跟官方路线学习

news2024/7/4 5:30:55

通过两次更换策略。最后找到最终的学习方案,华为ICT官网有对这个路线的学习,hcia基础有这个学习路线,hcip也有目录路线。所以,最后制定学习路线,是根据这个认证的路线进行学习了:

官网课程:课程详情 (huawei.com)

 哔哩哔哩视频网课:HCIA04-常见网络设备_哔哩哔哩_bilibili

发现,其实,这个网课的ppt资源或者是word资源,都是根据华为的培训教程 来的。所以资源就直接从官网下载就可以了:download (huawei.com)

 这里有培训教程也有实验教程。而且官网每一章节还有测验,好吧,最后开始我的最终路线就是根据官网学习选定并进行下去了!!因为自己有一定基础了,有些重复知道的知识点就只做一个目录就略过了。(虽然说哔哩哔哩的那个网课是根据官网的资源来的,但是它们对于目录的划分更加的精细,可以作为参考)

 第一章 数据通信网络基础

第二章  网络参考模型 

学习目标:

▫ 理解数据的定义及传递过程

▫ 理解网络参考模型概念及优势

▫ 了解常见的标准协议

▫ 掌握数据封装与解封装过程

按照顺序来,先介绍了OSI七层模型、接着是TCP/IP对等五层模型。接着重点放在TCP/IP的五层模型上,一层一层的介绍。就不对每一层都进行理解了。只单独看一些还没记下的知识点:

 

TCP报文头部:

▫ Source Port:源端口,标识哪个应用程序发送。长度为16比特。

▫ Destination Port:目的端口,标识哪个应用程序接收。长度为16比特。

▫ Sequence Number:序号字段。 TCP链接中传输的数据流每个字节都编上一个序号。序号字段的值指的是本报文段所发送数据的第一个字节的序号。长度为32比特。

▫ Acknowledgment Number:确认序列号,是期望收到对方下一个报文段数据的第1个字节的序号,即上次已成功接收到的数据段的最后一个字节数据的序号加1。只有Ack标识为1,此字段有效。长度为32比特。

▫ Header Length:头部长度,指出TCP报文头部长度,以32比特( 4字节)为计算单位。若无选项内容,则该字段为5,即头部为20字节。

▫ Reserved:保留,必须填0。长度为3比特。

▫ Control bits:控制位,包含FIN、 ACK、 SYN等标志位,代表不同状态下的TCP数据段。

▫ Window:窗口TCP的流量控制,这个值表明当前接收端可接受的最大的数据总数(以字节为单位)。窗口最大为65535字节。长度为16比特。

▫ Checksum:校验字段,是一个强制性的字段,由发端计算和存储,并由收端进行验证。在计算检验和时,要包括TCP头部和TCP数据,同时在TCP报文段的前面加上12字节的伪头部。长度为16比特。

▫ Urgent:紧急指针,只有当URG标志置1时紧急指针才有效。 TCP的紧急方式是发送端向另一端发送紧急数据的一种方式。紧急指针指出在本报文段中紧急数据共有多少个字节(紧急数据放在本报文段数据的最前面)。长度为16比特。

▫ Options:选项字段(可选),长度为0-40字节。

• UDP报文头部:

▫ Source Port:源端口,标识哪个应用程序发送。长度为16比特。

▫ Destination Port:目的端口,标识哪个应用程序接收。长度为16比特。

▫ Length:该字段指定UDP报头和数据总共占用的长度。可能的最小长度是8字节,因为

UDP报头已经占用了8字节。由于这个字段的存在, UDP报文总长不可能超过65535

字节(包括8字节的报头,和65527字节的数据)。

▫ Checksum:覆盖UDP头部和UDP数据的校验和,长度为16比特。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1238948.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

马斯克震撼演讲:我想创立一个新世界

目录 1拼多多杀入大模型领域:年薪百万招聘人才 2马斯克震撼演讲:我想创立一个新世界 3文心4.0上线首交答卷:百度2023Q3成色如何 1拼多多杀入大模型领域:年薪百万招聘人才 快科技11月22日消息,据国内媒体报道&#x…

阿里云跨账号建立局域网

最近有活动,和好友一并薅了下阿里云的羊毛。琢磨着两台机器组一个局域网,于是有了这个需求,把步骤记录一下: 假设两台机器叫A和B,我们开始进行建立和组网 1. 建立ECS 把A机器公共环境装好,然后使用《实例与…

OceanBase:集群常见操作

目录 1.查看 OBD 管理的集群列表 2.查看某个集群状态 3.启动 OceanBase 集群 4.连接 OceanBase 集群 5.停止运行中的集群 6.销毁已部署的集群 7.查看集群配置项 8.修改集群配置项 1.查看 OBD 管理的集群列表 obd cluster list 2.查看某个集群状态 obd cluster displa…

【喜报】云贝学员顺利通过OceanBase 数据库上机实验,OBCP证书到手了!!!

恭喜LIU同学历经2月,经过郭老师考前辅导顺利拿下OBCP证书! 考试形式 OBCP V3.0考试分为笔试考试和实验考试两部分。 笔试考试: 考试形式:线下考点考试(官网预约) 考试时长: 90分钟 题目一共66道题(从题库中随机抽取&#xff…

Instant Web API .Net Core Crack

Instant Web API .Net Core 是立即构建即时数据库 Web API,无需编码。在几分钟内生成您的 Web API,以更快地构建应用程序。使用 VS 2022 和 Entity Framework Core 为任何 MS SQL 数据库生成 Web API。 新功能 - 使用 Visual Studio 2022 为 PostgreSQL …

算法刷题-动态规划-1

算法刷题-动态规划-1 不同路径不同路径||方法一:方法二 第N个泰波那契数递归写法滚动数组 三步问题递归操作滚动数组 使用最小画法爬楼梯递归 解码方法方法一方法二:(大佬讲解) 不同路径 //机器人不同的路径进入到指定的地点 publ…

909-2015-T3

文章目录 1.原题2.算法思想2.1.求树的高度2.2.求路径 3.关键代码4.完整代码5.输出结果 1.原题 试编写算法,求给定二叉树上从根节点到叶子节点的一条路径长度等于树的深度减一的路径(即列出从根节点到该叶子节点的节点序列),若这样…

【JavaEE】Spring更简单的存储和获取对象(类注解、方法注解、属性注入、Setter注入、构造方法注入)

一、存储Bean对象 在这篇文章中我介绍了Spring最简单的创建和使用:Spring的创建和使用 其中存储Bean对象是这样的: 1.1 配置扫描路径 想要成功把对象存到Spring中,我们需要配置对象的扫描包路径 这样的话,就只有被配置了的包…

STM32F103C8T6第5天:独立看门狗、窗口看门狗、dma实验

1. 独立看门狗IWDG介绍(341.45) 什么是看门狗? 在由单片机构成的微型计算机系统中,由于单片机的工作常常会受到来自外界电磁场的干扰,造成程序的跑飞,而陷入死循环,程序的正常运行被打断&#…

引爆关注,聚焦上海新闻媒体邀请

传媒如春雨,润物细无声,大家好,我是51媒体网胡老师。 上海拥有众多的新闻媒体机构,包括报纸、电视、广播和网络媒体等。这些媒体在报道国内外新闻、传播信息等方面发挥着重要作用。 其中,上海电视台是上海最大的电视…

使用VSCode+PlatformIO搭建ESP32开发环境

Arduino IDE本来就是为创客们开发的,虽然没代码提示功能,文件的关系也不清晰,函数不能跳转,头文件也打不开,但人家的初衷就是为了简单而生的;但还是有一些同学喜欢高级点的IDE,也没问题&#xf…

详解Python中哈希表的使用。站在开发者角度,与大家一起探究哈希的世界。

文章目录 1. 前言2. 哈希表2.1 哈希函数2.2 哈希算法2.3 常见哈希算法2.4 哈希冲突 3.总结关于Python技术储备一、Python所有方向的学习路线二、Python基础学习视频三、精品Python学习书籍四、Python工具包项目源码合集①Python工具包②Python实战案例③Python小游戏源码五、面…

算法设计与分析复习--分支界限法

文章目录 上一篇分支界限法性质装载问题0-1背包问题单源最短路问题最大团问题下一篇 上一篇 算法设计与分析复习–回溯法(二) 分支界限法性质 分支界限法是按广度优先策略或最小耗费优先遍历问题的解空间树。 搜索解空间: 子集树排列树 …

2024贵州大学计算机考研分析

24计算机考研|上岸指南 贵州大学 贵州大学计算机科学与技术学院(贵州大学省级示范性软件学院)位于贵州省贵阳市花溪区贵州大学东校区。 计算机科学与技术学院(软件学院)自1972年创办计算机软件本科专业开始,至今已有…

sso 四种授权模式

单点登录 单点登录,英文是 Single Sign On(缩写为 SSO)。即多个站点共用一台认证授权服务器,用户在站点登录后,可以免登录访问其他所有站点。而且,各站点间可以通过该登录状态直接交互。例如: …

aspera替代方案,镭速大文件传输解决方案替代

相信不少的互联网用户对于传输软件aspera并不陌生,但是市面上有没有哪一些aspera替代方案,aspera替代方案是否比aspera更加能够解决数据传输的需求,是一个值得思考的事情,那么我们先来了解一下aspera以及aspera替代方案。 了解Asp…

[Linux] shell条件语句和if语句

一、条件语句 1.1 测试 test 测试文件的表达式是否成立 格式:test 条件表达式 [ 条件表达式 ] 选项作用-d测试是否为目录-e测试目录或文件是否存在-a测试目录或文件是否存在-f测试是否为文件-r测试当前用户是否有权限读取-w测试当前用户是否有权限写入-x测试当前…

csdn最新最全pytest系列——pluggy插件源码解读(一)HookspecMarker类和HookimplMarker类分析

简介 pluggy是一个非常优秀的插件系统,它是理解pytest的核心,只有理解了pluggy的原理,才能更好的理解和使用pytest,否则见到了pytest的很多应用都会感觉很难理解 pluggy插件总共的代码量不足一千行,而实现的功能却是…

SpringBoot : ch06 整合 web (一)

前言 SpringBoot作为一款优秀的框架,不仅提供了快速开发的能力,同时也提供了丰富的文档和示例,让开发者更加容易上手。在本博客中,我们将介绍如何使用SpringBoot来整合Web应用程序的相关技术,并通过实例代码来演示如何…

Axios简单使用与配置安装-Vue

安装Axios npm i axios main.js 导入 import Axios from axios Vue.prototype.$axios Axios简单发送请求 get getTest() {this.$axios({method: GET,url: https://apis.jxcxin.cn/api/title?urlhttps://apis.jxcxin.cn/}).then(res > {//请求成功回调console.log(res)}…