vivado产生报告阅读分析7-时序报告3

news2024/10/6 0:41:52
1、“ Timing Summary Report ”详情
Timing Summary Report 时序汇总报告 包含下列部分
General Information ”部分
Timer Settings ”部分
Design Timing Summary ”部分
Clock Summary ”部分
Methodology Summary ”部分
Check Timing ”部分
Intra-Clock Paths ”部分
Inter-Clock Paths ”部分
Other Path Groups ”部分
User-Ignored Paths ”部分
Unconstrained Paths ”部分
Timing Summary Report ”中包含的全面信息类似于 Vivado IDE 中的多项报告 时钟交互报告、脉冲宽度报告、时序报告和检查时序) 所提供的信息 以及仅限 Tcl 中才可用的某些报告 ( report_clocks ) 。但“ Report Timing Summary”还包含自己特有的信息 例如 Unconstrained Paths 未约束路径
2、“ General Information ”部分
Timing Summary 时序汇总 报告的“ General Information 常规信息 部分可提供如下内容的相关信息
• 设计名称
• 所选器件、封装和速度等级 带有速度文件版本
Vivado Design Suite 版本
• 当前日期
• 为生成报告所执行的等效 Tcl 命令
3、“ Timer Settings ”部分
Timing Summary 时序汇总 报告的“ Timer Settings 定时器设置 部分包含有关 Vivado IDE 时序分析引擎 设置的详细信息, 这些设置用于在报告中生成时序信息。下图通过示例显示了“ Timer Settings ”部分的默认选项 包 括:
• “ Enable Multi-Corner Analysis 启用多角分析 ): 针对每个时序角启用此分析 即“ Multi-Corner
Configuration 多角配置
• “ Enable Pessimism Removal 启用消极因素移除 和“ Pessimism Removal Resolution 消极因素移除解决办 法): 确保每条路径的源时钟和目标时钟的报告中均显示其公共节点无偏差。
• “ Enable Input Delay Default Clock 启用输入延迟默认时钟 ): 在无用户约束的输入端口上创建默认空输入延迟约束。默认禁用该选项。
• “ Enable Preset / Clear Arcs 启用预置 / 清除时序弧 ): 启用通过异步管脚进行时序路径传输。默认禁用该选 项, 它不影响恢复 / 移除检查。
• “ Disable Flight Delays 禁用飞行延迟 ): I/O 延迟计算禁用封装延迟。
4、“ Design Timing Summary ”部分
Timing Summary 时序汇总 报告的“ Design Timing Summary 设计时序汇总 部分 可提供设
计时序汇总信息 并将所有其他部分的结果组合到单一视图内。“Design Timing Summary”部分包含以下内容:
Setup ”区域 最大延迟分析
Hold ”区域 最小延迟分析
Pulse Width ”区域 管脚开关限制
Setup ”区域 最大延迟分析
Design Timing Summary 设计时序汇总 部分的“ Setup 建立时间 区域用于显示最大延迟分析相关的所有 检查: 建立、恢复和数据检查。
• “ Worst Negative Slack (WNS) 最差负时序裕量 ): 该值对应于最大延迟分析的所有时序路径的最差裕量。该 值可为正值或负值。
• “ Total Negative Slack (TNS) 总体负时序裕量 ): 所有 WNS 违例的总和 前提是仅考量每个时序路径端点的最 差违例。其值为: 0 ns, 前提是针对最大延迟分析满足所有时序约束。 负值, 前提是存在违例。
• “ Number of Failing Endpoints 故障端点数 ): 含违例 (WNS < 0 ns) 的端点总数。
• “ Total Number of Endpoints 端点总数 ): 已分析的端点总数。
Hold ”区域 最小延迟分析
Design Timing Summary 设计时序汇总 部分的“ Hold 保持时间 区域用于显示最小延迟分析相关的所有检查: 保持、移除和数据检查。
• “ Worst Hold Slack (WHS) 最差保持时序裕量 ): 对应于最小延迟分析的所有时序路径的最差裕量。该值可为正值或负值。
• “ Total Hold Slack (THS) 总体保持时序裕量 ): 所有 WHS 违例的总和 前提是仅考量每个时序路径端点的最差违例。其值为: 0 ns, 前提是针对最小延迟分析满足所有时序约束。 负值,前提是存在违例。
• “ Number of Failing Endpoints 故障端点数 ): 含违例 (WHS < 0 ns) 的端点总数。
• “ Total Number of Endpoints 端点总数 ): 已分析的端点总数。
Pulse Width ”区域 管脚开关限制
Design Timing Summary 设计时序汇总 部分的“ Pulse Width 脉冲宽度 区域可显示与管脚开关限制相关的所有检查:
• 最小低脉冲宽度
• 最小高脉冲宽度
• 最小周期
• 最大周期
• 最大偏差 例如 PCIe GT [ 仅限 UltraScale 器件 ] 的相同叶节点单元的 2 个时钟管脚之间最大偏差 。 报告值为:
• “ Worst Pulse Width Slack (WPWS) 最差脉冲宽度时序裕量 ): 对应于同时使用最小延迟和最大延迟时以上列出的所有时序检查的最差裕量。
• “ Total Pulse Width Slack (TPWS) 总体脉冲宽度时序裕量 ): 仅考量设计中每个管脚的最差违例时 所有 WPWS 违例的总和。其值为 0 ns, 前提是满足所有相关约束。 负值,前提是存在违例。
• “ Number of Failing Endpoints 故障端点数 ): 含违例 (WPWS < 0 ns) 的管脚总数。
• “ Total Number of Endpoints 端点总数 ): 已分析的端点总数。
5、“ Clock Summary ”部分
Timing Summary 时序汇总 报告的“ Clock Summary 时钟汇总 部分所含信息 report_clocks 所生成的信息相似:
• 设计中的所有时钟 包括 create_clock create_generated_clock 创建的时钟以及工具自动创建的时 钟)
• 每个时钟的属性 如名称、周期、波形和目标频率。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1222426.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

代码随想录算法训练营第五十八天丨 动态规划part18

739. 每日温度 思路 首先想到的当然是暴力解法&#xff0c;两层for循环&#xff0c;把至少需要等待的天数就搜出来了。时间复杂度是O(n^2) 那么接下来在来看看使用单调栈的解法。 什么时候用单调栈呢&#xff1f; 通常是一维数组&#xff0c;要寻找任一个元素的右边或者左边…

Leetcode刷题详解——斐波那契数

1. 题目链接&#xff1a;509. 斐波那契数 2. 题目描述&#xff1a; 斐波那契数 &#xff08;通常用 F(n) 表示&#xff09;形成的序列称为 斐波那契数列 。该数列由 0 和 1 开始&#xff0c;后面的每一项数字都是前面两项数字的和。也就是&#xff1a; F(0) 0&#xff0c;F(1…

mock测试数据

1.下载一个jar 架包 地址&#xff1a;链接&#xff1a;https://pan.baidu.com/s/1G5rVF5LlIYpyU-_KHsGjOA?pwdab12 提取码&#xff1a;ab12 2.配置当前电脑java环境变量 3.在同一文件目录下创建json 数据4.在终端切换到当前目录下启动服务&#xff0c; java -jar ./moco-r…

无损音频播放软件 Colibri mac中文版特点介绍

Colibri for mac是一款轻量级的音频播放器软件。它具有简洁的界面设计和快速启动速度&#xff0c;能够提供流畅的音频播放体验。Colibri支持多种常见的音频格式&#xff0c;包括MP3、FLAC、ALAC、AAC等。它还提供了一些实用的功能&#xff0c;如音频均衡器、音频增益控制、播放…

Go 语言数组基础教程 - 数组的声明、初始化和使用方法

数组用于在单个变量中存储相同类型的多个值&#xff0c;而不是为每个值声明单独的变量。 声明数组 在Go中&#xff0c;有两种声明数组的方式&#xff1a; 使用var关键字&#xff1a; 语法 var array_name [length]datatype{values} // 这里定义了长度 或者 var array_n…

asp.net 学校资源信息管理系统VS开发sqlserver数据库web结构c#编程计算机网页项目

一、源码特点 asp.net 学校资源信息管理系统 是一套完善的web设计管理系统&#xff0c;系统具有完整的源代码和数据库&#xff0c;系统主要采用B/S模式开发。 asp.net学校资源管理系统 二、功能介绍 本系统使用Microsoft Visual Studio 2019为开发工具&#xff0c;SQL …

hahahaha发到这里吧

一大早上笑死我 恭喜在座的各位&#xff0c;一直以为这次比赛public和private排名会相差不大&#xff0c;结果前6有4个人都是从银牌歘一下上来的&#xff0c;想象地到他们看到结果时的喜悦

C语言每日一题(32)环形链表

力扣网 141.环形链表 题目描述 给你一个链表的头节点 head &#xff0c;判断链表中是否有环。 如果链表中有某个节点&#xff0c;可以通过连续跟踪 next 指针再次到达&#xff0c;则链表中存在环。 为了表示给定链表中的环&#xff0c;评测系统内部使用整数 pos 来表示链表尾…

2024年山东省职业院校技能大赛中职组 “网络安全”赛项竞赛试题-C卷

2024年山东省职业院校技能大赛中职组 “网络安全”赛项竞赛试题-C卷 2024年山东省职业院校技能大赛中职组 “网络安全”赛项竞赛试题-C卷A模块基础设施设置/安全加固&#xff08;200分&#xff09;A-1&#xff1a;登录安全加固&#xff08;Windows, Linux&#xff09;A-2&#…

使用Open3D库处理3D模型数据的实践指南

目录 引言 一、安装Open3D库 二、加载3D模型数据 三、处理3D模型数据 1、去除模型中的无效面 2、提取模型特征 四、存储处理后的3D模型数据 五、可视化处理后的3D模型数据 六、注意事项 结论 引言 在处理3D模型数据时&#xff0c;Open3D库是一个功能强大且易于使用的…

分类预测 | Matlab实现基于PSO-SDAE粒子群优化算法优化堆叠去噪自编码器的数据分类预测

分类预测 | Matlab实现基于PSO-SDAE粒子群优化算法优化堆叠去噪自编码器的数据分类预测 目录 分类预测 | Matlab实现基于PSO-SDAE粒子群优化算法优化堆叠去噪自编码器的数据分类预测分类效果基本描述程序设计参考资料 分类效果 基本描述 1.Matlab实现基于PSO-SDAE粒子群优化算法…

golang标准库-crc32的使用

1.概述 crc32实现了32位循环冗余检测算法的实现。目前crc32内部提供 了三种常用的多项式,采用查表法来提高计算checksum的效率。通过crc32.MakeTable()可以获取对应的表&#xff0c;crc32提供了一个IEETABLE可以直接使用&#xff0c;官方链接如下&#xff1a;crc32 package - h…

值得你一生收藏的BMW宝马汽车底盘代号各个版本说明,方便今后查阅使用!

很少有汽车品牌像宝马一样&#xff0c;本属于内部交流使用的底盘代号&#xff08;Development Code&#xff09;&#xff0c;最终延伸为粉丝群体用以精准定位某一年代某一款车型的通用语。随着宝马加速推出新产品&#xff0c;每一年的底盘代号都在更新。你挚爱的强哥现将宝马所…

《Deep learning for fine-grained image analysis: A survey》阅读笔记

论文标题 《Deep learning for fine-grained image analysis: A survey》 作者 魏秀参&#xff0c;旷世研究院 初读 摘要 细粒度图像分析&#xff08;FGIA&#xff09;的任务是分析从属类别的视觉对象。 细粒度性质引起的类间小变化和类内大变化使其成为一个具有挑战性的…

Mac安装win程序另一个方案

前言 今天跟大家分享的是mac装win程序的另一个思路&#xff0c;不需要大动干戈的装双系统、虚拟机。最后分享感受&#xff0c;先说过程吧。 一、思路介绍 其实&#xff0c;就是利用CrossOver&#xff0c;这个软件的介绍大家可以自行了解。不过不得不说这玩意还是国外的人思路新…

OpenAI临时CEO变更,Mira Murati接任,Sam Altman被辞退

2个小时前&#xff0c;OpenAI 官网宣布&#xff0c;首席技术官Mira Murati临时接任OpenAI CEO&#xff0c;并辞退了CEO Sam Altman。新闻里董事会对辞退的原因只有一个简单说明&#xff1a; “奥特曼离职之前&#xff0c;董事会进行了审慎审查&#xff0c;得出的结论是&#…

23111703[含文档+PPT+源码等]计算机毕业设计javaweb商城项目全套电商购物系统

文章目录 **软件开发环境及开发工具&#xff1a;****项目功能介绍&#xff1a;****论文截图&#xff1a;****实现****代码片段&#xff1a;** 编程技术交流、源码分享、模板分享、网课教程 &#x1f427;裙&#xff1a;776871563 软件开发环境及开发工具&#xff1a; 前端使用…

Windows11怎样投屏到电视上?

电视屏幕通常比电脑显示器更大&#xff0c;能够提供更逼真的图像和更震撼的音效&#xff0c;因此不少人也喜欢将电脑屏幕投屏到电视上&#xff0c;缓解一下低头看电脑屏幕的烦恼。 Windows11如何将屏幕投射到安卓电视&#xff1f; 你需要在电脑和电视分贝安装AirDroid Cast的电…

MySQL优化(2):索引与优化原理(上)

作者简介&#xff1a;大家好&#xff0c;我是smart哥&#xff0c;前中兴通讯、美团架构师&#xff0c;现某互联网公司CTO 联系qq&#xff1a;184480602&#xff0c;加我进群&#xff0c;大家一起学习&#xff0c;一起进步&#xff0c;一起对抗互联网寒冬 上一篇&#xff0c;我们…

DAO和增删改查通用方法-BasicDao

文章目录 一、BasicDao是什么&#xff1f;二、BasicDao分析三、BasicDao实现&#xff08;1&#xff09;BasicDao&#xff08;2&#xff09;ActorDao&#xff08;3&#xff09;TestDao 四、总结 一、BasicDao是什么&#xff1f; BasicDao:基础的数据对象&#xff0c;可以完成通用…