Apache Ranger:(二)对Hive集成简单使用

news2024/9/22 1:13:00

1.Ranger Hive-plugin安装

        进入 Ranger 编译生成的目录下 找到 ranger-2.0.0-hive-plugin.tar.gz 进行解压

tar -zxvf ranger-2.0.0-hive-plugin.tar.gz -C /opt/module/

2.修改配置文件

vim install.properties
#策略管理器的url地址
POLICY_MGR_URL=http://[ip]:6080

#组件名称
REPOSITORY_NAME=hive

#hive的安装目录
COMPONENT_INSTALL_DIR_NAME=【Hive_home】

#hive组件的启动用户
CUSTOM_USER=[hive用户]

#hive组件启动用户所属组
CUSTOM_GROUP=[hive用户组]

3.启用Ranger Hive-plugin

./enable-hive-plugin.sh

启动时候可能会出现异常,日志上显示找不到 JAVA_HONE

直接修改 enable-hive-plugin.sh 这个 shell 脚本,在关于JAVA_HOME信息上直接写个固定jdk路径

再次启动!

查看$HIVE_HOME/conf目录是否出现以下配置文件,如出现则表示Hive插件启用成功。

4.启动Hive 元数据和服务

hive --service metastore &

hive --service hiveserver2 &

5.创建新用户

设置新用户用户名称、密码及角色

查看新增用户

6.设置Hive库权限管理

6.1新增权限管理

点击测试

出现这个很正常,说明这个atguigu用户没有权限。是的,确实没有,因为还没配置

直接忽略 ,点击OK

6.2再次测试权限

因为已经把atguigu 这个用户已经配置上了

也就是说 atguigu 这个用户可以对 hive进行任何操作,但是其他用户不可以

7.其他用户测试

刚才在上面新建了一个新用户,也就是mytest00

用这个用户连接hive,进行测试

beeline -u jdbc:hive2://192.168.233.130:10000 -n mytest00

可以正常连接

但是,查看库、表都会报出这样的错误,大概意思就是没有权限

现在给这个mytest00 用户赋予权限

进入下面这个界面

在允许条件里面 添加 mytest00 用户

现在 mytest00 用户有库表字段权限了,再从客户端使用同样的命令

这说明后面可以对hive用户进行权限控制了

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1075713.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

今日头条文章采集ChatGPT3.5/4.0驱动浏览器改写文章软件说明文档

大家好了,我是淘小白~ 今天给大家介绍的软件是一个款驱动浏览器改写文章的软件,下面给大家做一下介绍说明: 一、软件语言 Python编写的,使用的库是selenium库 二、具体逻辑 1、整理头条文章网址,需要自己整理&…

网络基础知识面试题2

VC++常用功能开发汇总(专栏文章列表,欢迎订阅,持续更新...)https://blog.csdn.net/chenlycly/article/details/124272585C++软件异常排查从入门到精通系列教程(专栏文章列表,欢迎订阅,持续更新...)

VIM指令

vim的工作模式 vim一般有6种工作模式。 普通模式:使用vim打开一个文件时默认模式,也叫命令模式,允许用户通过各种命令浏览代码、滚屏等操作。 插入模式:也可以叫做编辑模式,在普通模式下敲击 i 、a 或 o 就进入插入模…

【广州华锐互动】VR虚拟现实技术应用于新兵作战体验的优势

随着虚拟现实(VR)技术的不断发展,越来越多的军校开始将其应用于军事训练中。VR技术可以通过模拟真实的环境和情境,为学员提供更加直观、生动的训练体验。本文将从以下几个方面阐述VR虚拟现实技术在军事作战演习中的应用场景。 1.战场模拟 通过VR技术&…

Linux shell编程学习笔记9:字符串运算 和 if语句

Linux Shell 脚本编程和其他编程语言一样,支持算数、关系、布尔、字符串、文件测试等多种运算,同样也需要进行根据条件进行流程控制,提供了if、for、while、until等语句。 上期学习笔记中我们研究了字符串数据的使用,今天我们研…

nginx+HTTPS证书

申请ssl下载证书 阿里云购买免费证书,可免费申请20个,需要配置域名,域名为单个域名,比如www.xxx.com,必须带前缀。 申请完之后需要创建证书 注:创建证书时阿里云购买的域名可以直接给配好解析&#xff0…

【网络】UDP和TCP套接字编程

目录 一、初始ip地址和port二、网络字节序三、socket编程1、sockaddr结构2、socket编程接口2.1、创建 socket接口2.2、绑定端口号2.3、监听socket2.4、接收请求2.5、建立连接2.6、收消息2.7、发消息 3、UDP套接字编程 -- 现实大小写转换4、TCP套接字编程 -- 原生多线程现实TCP通…

Flutter - 底部多选弹框组件

demo 地址: https://github.com/iotjin/jh_flutter_demo 代码不定时更新,请前往github查看最新代码 有时需要弹框选择多个数据,因此写了个底部多选弹框组件 支持搜索,设置默认选中数据,暗黑模式适配 效果图 使用方法 final multiD…

基于FPGA的图像缩小算法实现,包括tb测试文件和MATLAB辅助验证

目录 1.算法运行效果图预览 2.算法运行软件版本 3.部分核心程序 4.算法理论概述 5.算法完整程序工程 1.算法运行效果图预览 将FPGA的处理结果导出到matlab中显示图像效果: 2.算法运行软件版本 vivado2019.2 matlab2022a 3.部分核心程序 timescale 1ns / 1p…

mysql8压缩包安装

MySQL 8.0 版压缩包安装教程_mysql 压缩包 8.0安装-CSDN博客 1、mysql压缩包 2、参考链接一步一步操作即可。 3、安装,破解navicat. 4、无法连接,参考该链接修改: Mysql 解决1251- Client does not support authentication protocol reques…

易云维®IBMS系统实现了医院楼宇建筑内各专业子系统间的相互操作、快速响应、与联动控制

易云维医院楼宇智能化管理系统(IBMS系统)可以通过调研医院项目现场情况,了解用户的实际需求,为用户提供合理投资、高效、舒适、方便的环境空间;对医院建筑多个弱电子系统进行集中监控,确保各个弱电子系统安…

【C进阶】字符串函数

C语言中对字符和字符串的处理很频繁,但是C语言本身是没有字符串类型的,字符串通常放在常量字符串中或者字符数组中 字符串常量适用于那些对它不做修改的字符串函数 本章重点介绍处理字符串函数的库函数的使用和注意事项 一、字符串函数 这些函数都要引…

C语言之动态内存管理_柔性数组篇(2)

目录 柔性数组的特点 柔性数组的使用 动态内存函数增容柔性数组模拟实现 柔性数组的优势 今天接着来讲解一下柔性数组知识。 柔性数组的特点 C99中,结构中的最后一个元素允许是未知大小的数组,这就叫做【柔性数组】成员。 结构体中最后一个成员未…

PyCharm搭建Scrapy环境

Scrapy入门 1、Scrapy概述2、PyCharm搭建Scrapy环境3、Scrapy使用四部曲4、Scrapy入门案例4.1、明确目标4.2、制作爬虫4.3、存储数据4.4、运行爬虫 1、Scrapy概述 Scrapy是一个由Python语言开发的适用爬取网站数据、提取结构性数据的Web应用程序框架。主要用于数据挖掘、信息处…

k8spod就绪检查失败

pod 一直未就绪 kube-system metrics-server-7764f6c67c-2kts9 0/1 Running 0 10m kubect describe 查看 就绪探针未通过 Normal Started 3m19s kubelet Started container metrics-server Warning Unhealthy 5s (x20 over 2m55s) kubelet Readiness probe failed: HTTP probe…

Springboot整合Hutool自定义注解实现数据脱敏

一、前言 我们在项目中会处理敏感数据(如手机号、身份证号、姓名、地址等)时,通常需要对这些数据进行脱敏,以确保数据隐私和安全。 我们本次使用 Hutool 库来轻松实现数据脱敏,如果项目中不让使用,可以自…

各类高危漏洞介绍及验证方式教程(二)

本期整理的漏洞验证教程约包含50多类漏洞,分多个章节编写,可从以下链接获取全文: 各类高危漏洞验证方式.docx (访问密码: 1455) 搭建dvwa测试环境基础教程.docx(访问密码: 1455) web逻辑漏洞挖掘快速入门基础教程.docx (访问密码: 1455) 06 I…

工作杂记-YUV的dump和read

工作小记-YUV的dump和read 工作杂记-YUV的dump和read利用dump生成图片 yuv2imgyuv2img代码 工作杂记-YUV的dump和read 工作中涉及到模型验证相关的工作,这里是三个模型的共同作用,在感知模型读取图片的时候,把输入替换成自己给定的输入&…

Python中如何快速解析JSON对象数组

嗨喽~大家好呀,这里是魔王呐 ❤ ~! python更多源码/资料/解答/教程等 点击此处跳转文末名片免费获取 由于浏览器可以迅速地解析JSON对象,它们有助于在客户端和服务器之间传输数据。 本文将描述如何使用Python的JSON模块来传输和接收JSON数据。 JavaSc…

优思学院|揭秘六西格玛:七大迷思你不可不知!

六西格玛的核心理念起源于1970年在摩托罗拉公司诞生。其基本精神一直是持续改进和提升品质,随后在各国呈爆炸性的发展。自2000年开始引进中国后,已经过了约16年的应用。但以2017年的角度回顾中国整体六西格玛的应用广度及熟悉度,发现六西格玛…