搭建了个腾讯滑块服务,直接取ticket的,仅供测试.

news2024/10/5 13:54:05

最近闲着没事搭建了个TX滑块验证码服务,C#写的.

接口是rest接口

提交任务POST

http://47.104.132.20:19090/task/addTask

提交数据:

{
  "url": "https://ssl.captcha.qq.com/template/wireless_mqq_captcha.html?style=simple&aid=16&uin=3557247785&sid=2858167361258078454&cap_cd=tPg7vp9-AqmbS0Eh4HFqKnsIeEyIIrq4ZZiKEWoswjmcCRoLKi2Z_w**&clientype=1&apptype=2"
}

返回uuid,后期根据这个uuid取ticket

响应:

{"code":200,"msg":"9ead535b-74aa-4287-bfa4-7bcac8972a92"}

获取ticket结果:

http://47.104.132.20:19090/task/getTaskRes

提交数据:

{
  "uuid": "9ead535b-74aa-4287-bfa4-7bcac8972a92"
}

响应:

{"code":200,"msg":"操作成功","data":{"errorCode":"0","randstr":"@m85","ticket":"t03IJ9ZRN1OtgWkA_Olbem0xEuByBHKYu1UNJ8PrRPcj3w6nUEZQrRAsLmCXDWMty0d496Zf9gy5qJpiBYuh29X4A0mWiOtB2cYEz9lQ_YO_vVjPUOdbAOp93b-ZAW5Y5Ar","errMessage":"","sess":"","uuid":"9ead535b-74aa-4287-bfa4-7bcac8972a92"}}

获取任务执行结果最好3秒请求一次,正常5秒内返回结果. 30s超时.

fiddler截图:

添加任务包数据包:

 

获取任务执行结果数据包:

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/865934.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

html实现iphone同款开关

一、背景 想实现一个开关的按钮&#xff0c;来触发一些操作&#xff0c;网上找了总感觉看着别扭&#xff0c;忽然想到iphone的开关挺好&#xff0c;搞一个 二、代码实现 <!DOCTYPE html> <html lang"en"> <head><meta charset"UTF-8&qu…

内生性的蒙特卡罗模拟

这是一个很好的例子,通过蒙特卡洛模拟展示了忽略相关变量时,回归系数估计的偏差。 %% 蒙特卡洛模拟&#xff1a;内生性会造成回归系数的巨大误差 times 300; % 蒙特卡洛的次数 R zeros(times,1); % 用来储存扰动项u和x1的相关系数 K zeros(times,1); % 用来储存遗漏了x2…

利用自动校对软件优化新闻稿件的拼写和语法

利用自动校对软件优化新闻稿件的拼写和语法&#xff0c;您可以按照以下步骤进行&#xff1a; 1.选择适合的校对软件&#xff1a;市场上有多种拼写和语法校对软件可供选择。根据您的需求和预算&#xff0c;选择一个功能强大且适合新闻稿件的软件。 2.导入稿件&#xff1a;将待校…

msvcr110.dll文件丢失的解决方法有哪些?高效率修复msvcr110.dll缺失

msvcr110.dll是一个重要的系统动态链接库&#xff08;DLL&#xff09;文件&#xff0c;它在Windows操作系统中起到关键的作用。如果出现msvcr110.dll文件缺失的情况&#xff0c;可能会导致应用程序无法正常运行、错误提示以及系统不稳定性等问题。这时候我们就需要去解决它了&a…

freeswitch的mod_xml_curl模块动态获取configuration

概述 freeswitch是一款简单好用的VOIP开源软交换平台。 mod_xml_curl模块支持从web服务获取xml配置&#xff0c;本文介绍如何动态获取acl配置。 环境 centos&#xff1a;CentOS release 7.0 (Final)或以上版本 freeswitch&#xff1a;v1.6.20 GCC&#xff1a;4.8.5 web…

SysML V1.2 Model Elements

一、概述 SysML的ModelElements包定义了可以显示在多种SysML图类型上的通用构造。这些包括包、模型、各种类型的依赖(例如&#xff0c;导入、访问、细化、实现)、约束和注释。本章中定义的包图用于通过将模型元素划分为可打包的元素并在包内建立包和&#xff08;/或&#xff0…

【启明智显分享】基于开阳ARK630HV100的车规开发板

基于开阳ARK630HV100的车规开发板 专用HMI芯片&#xff1a;5寸智能液晶摩托车仪表采用专用的HMI芯片&#xff0c;确保高效的数据处理和显示性能。这种芯片专为摩托车仪表设计&#xff0c;具有更快的响应速度和更稳定的性能&#xff0c;可以提供流畅的用户体验。高分辨率液晶显…

nodejs+vue+elementui社区流浪猫狗救助救援网站_4a4i2

基于此背景&#xff0c;本研究结合管理员即时发布流浪猫狗救助救援信息与用户的需求&#xff0c;设计并实现了流浪猫狗救助救援网站。系统采用B/S架构&#xff0c;java语言作为主要开发语言&#xff0c;MySQL技术创建和管理数据库。系统主要分为管理员和用户两大功能模块。通过…

安装ubuntu22.04系统,配置国内源以及ssh远程登录

一、安装ubuntu22.04系统 原文连接&#xff1a;Ubuntu操作系统22.04版本安装教程-VMware虚拟机_wx63f86e949a470的技术博客_51CTO博客 1.点击界面左侧的开启此虚拟机&#xff0c;即可进入Ubuntu操作系统安装界面&#xff0c;点击​​Try or Install Ubuntu ​​即可开始安装 …

【解密算法:时间与空间的博弈】

本章重点 ​​什么是数据结构&#xff1f; 什么是算法&#xff1f; 算法效率 时间复杂度 空间复杂度 常见时间复杂度以及复杂度oj练习 1. 什么是数据结构&#xff1f; 数据结构(Data Structure)是计算机存储、组织数据的方式&#xff0c;指相互之间存在一种或多种特定关系…

【2023 华数杯全国大学生数学建模竞赛】 C题 母亲身心健康对婴儿成长的影响 45页论文及python代码

【2023 华数杯全国大学生数学建模竞赛】 C题 母亲身心健康对婴儿成长的影响 45页论文及python代码 1 题目 母亲是婴儿生命中最重要的人之一&#xff0c;她不仅为婴儿提供营养物质和身体保护&#xff0c; 还为婴儿提供情感支持和安全感。母亲心理健康状态的不良状况&#xff0c…

七、dokcer-compose部署springboot的jar

1、准备 打包后包名为 ruoyi-admin.jar 增加接口 httpL//{ip}:{port}/common/test/han #环境变量预application.yml 中REDIS_HOSTt的值&#xff0c;去环境变量去找&#xff1b;如果找不到REDIS_HOST就用myredis 1、Dockerfile FROM hlw/java:8-jreRUN ln -sf /usr/share/z…

简单入门seleniumUI自动化测试

目录 一、selenium的介绍 二、selenium的原理 三、selenium的八种元素定位的方法 1、ID定位&#xff1a; 2 、name定位&#xff1a; 3、class定位&#xff1a; 4、tag定位&#xff1a; 5、link_text定位&#xff1a; 6、partial_link_text定位&#xff1a; 7、css定位…

【Spring中MySQL连接错误】Cannot load driver class: com.mysql.cj.jdbc.Driver

Caused by: Failed to instantiate [com.zaxxer.hikari.HikariDataSource]: Factory method ‘dataSource’ threw exception; nested exception is java.lang.IllegalStateException: Cannot load driver class: com.mysql.cj.jdbc.Driver Caused by: java.lang.IllegalState…

嵌入式开发自学的话有什么建议嘛?

学习路线 1、C语言C语言向来都是各大公司的笔试重点内容&#xff0c;可以考察学生在编程方面的基本素养。C语言的内容比较少&#xff0c;包含这么几块内容&#xff1a; 数据类型控制结构数组函数指针内存管理C语言是后面所有模块的基础&#xff0c;打好了基础&#xff0c;其他…

Apipost接口测试断言

常用断言直接点右边栏 断言list&#xff1a; // 断言json数组长度 apt.assert(response.json.data.data.length20); // 断言json数组中的某个对象 apt.assert(response.json.data.data[0].docid1482);

独家域内安全解决方案!CACTER邮件安全网关V7.0全新发布

近期&#xff0c;Coremail举办了邮件安全网关V7.0直播发布会&#xff0c;Coremail CTO林延中和清华大学马云龙老师莅临直播间&#xff0c;为大家分享讲解域内安全问题和域内互发需求与挑战&#xff0c;直播更有Coremail邮件安全网关产品经理为大家详细介绍网关V7.0的功能亮点。…

基于vue3+webpack5+qiankun实现微前端

一 主应用改造&#xff08;又称基座改造&#xff09; 1 在主应用中安装qiankun(npm i qiankun -S) 2 在src下新建micro-app.js文件&#xff0c;用于存放所有子应用。 const microApps [// 当匹配到activeRule 的时候&#xff0c;请求获取entry资源&#xff0c;渲染到containe…

基于CentOS 7 配置nginx负载均衡

搭建负载均衡服务的需求如下&#xff1a; 1 ) 把单台计算机无法承受的大规模并发访问或数据流量分担到多台节点设备上&#xff0c;分别进行处理&#xff0c; 减少用户等待响应的时间&#xff0c; 提升用户体验。 2 ) 单个重负载的运算分担到多台节点设备上做并行处理&#xff…

基于k8s job设计与实现CI/CD系统

方案一&#xff1a;Jenkinsk8sCICD 方案二&#xff1a;kanikok8s jobCICD CICD 基于K8s Job设计流水线 CI方案 工具镜像 云原生镜像打包工具 kaniko的使用 与Jenkins对比 可用性与易用性