FPGA新起点V1开发板(五)——Modelsim软件的使用(联合仿真)

news2024/10/7 10:22:11

文章目录

  • 一、简介
    • 1.1 前仿真
    • 1.2 后仿真
  • 二、联合仿真
    • 2.1 选择modesim的安装路径
    • 2.2 设置选择eda的工具
    • 2.3 test bench文件
    • 2.4 打开test bench文件
    • 2.5 给系统时钟和复位信号赋初值
    • 2.6 配置仿真功能
  • 三、RTL仿真
    • 3.1 打开波形窗口
    • 3.2添加内部信号
  • 四、时序仿真

一、简介

在这里插入图片描述

1.1 前仿真

在这里插入图片描述
主要是用于验证代码的功能是否正确,不考虑电路的延迟

1.2 后仿真

在这里插入图片描述

二、联合仿真

2.1 选择modesim的安装路径

在这里插入图片描述
在这里插入图片描述

2.2 设置选择eda的工具

在这里插入图片描述
已经关联好

2.3 test bench文件

为顶层文件提供激励,如系统时钟和复位信号,quartes里面有模板
在这里插入图片描述

2.4 打开test bench文件

根据下面的路径
在这里插入图片描述
通过fileopen

在这里插入图片描述

在这里插入图片描述

2.5 给系统时钟和复位信号赋初值

timescale 1 ps/ 1 ps,前面是仿真的单位后面是仿真的精度,修改成ns

initial                                                
begin                                                  
    sys_clk = 1'b0;  //将系统时钟赋为0
    sys_rst_n = 1'b0; //将复位信号赋为0
    #100 sys_rst_n = 1'b1; //延时100ns后,复位信号拉高
    #1000 $stop;//增加停止条件,运行1微秒后停止
end
//产生时钟的翻转信号,系统时钟是50MHz,则周期是20ns,则每延时10ns系统时钟翻转一次                                                    
always #10 sys_clk = ~sys_clk;                                           
    
endmodule

在这里插入图片描述

2.6 配置仿真功能

在这里插入图片描述
复制test bench的文件名
在这里插入图片描述
在这里插入图片描述

三、RTL仿真

在这里插入图片描述
RTL功能仿真
在这里插入图片描述

3.1 打开波形窗口

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
点击最下面,可隐藏路径

在这里插入图片描述
右边是几进制显示,这里选择二进制
在这里插入图片描述

在这里插入图片描述

3.2添加内部信号

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述
可以看出count是11次

四、时序仿真

需要编译
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
直接run
在这里插入图片描述
有一点误差

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/77240.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

web大学生网页作业成品 响应式网站水果超市7页(html+css+javascript+jquery+bootstarp)

🎀 精彩专栏推荐👇🏻👇🏻👇🏻 ✍️ 作者简介: 一个热爱把逻辑思维转变为代码的技术博主 💂 作者主页: 【主页——🚀获取更多优质源码】 🎓 web前端期末大作业…

web期末大作业 用HTML+CSS做一个漂亮简单的节日网页【传日文化节日中秋节】

🎉精彩专栏推荐 💭文末获取联系 ✍️ 作者简介: 一个热爱把逻辑思维转变为代码的技术博主 💂 作者主页: 【主页——🚀获取更多优质源码】 🎓 web前端期末大作业: 【📚毕设项目精品实战案例 (10…

web前端期末大作业—— HTML+CSS豪华车 (9页)

🎉精彩专栏推荐 💭文末获取联系 ✍️ 作者简介: 一个热爱把逻辑思维转变为代码的技术博主 💂 作者主页: 【主页——🚀获取更多优质源码】 🎓 web前端期末大作业: 【📚毕设项目精品实战案例 (10…

蚂蚁金服开源的这份SpringBoot笔记,曾在24小时内GitHub星标48k

前言 Spring的影响力想必就不用小编多说了,今天要跟大家说的是SpringBoot。Spring Boot作为目前Spring技术体系中炙手可热的框架之一,已经是开发者们的必备神器了。在实际的项目中,需要集成各种的插件支持,还有很多或许我们平时不…

【计算机考研408-计算机网络-教书匠视频笔记】主机访问浏览器的全部过程

主机H1利用浏览器通过该域名请求访问web服务器 由于题目给定主机H1的ARP表是空的 (1)主机H1首先会发送ARP请求报文,ARP请求报文会被封装在以太网的MAC帧中发送 ARP请求报文:FF-FF-FF-FF-FF-FF 源MAC地址:00-11-22-3…

Docker 容器使用

文章目录Docker 容器使用Docker 客户端运行一个web应用查看 WEB 应用容器网络端口的快捷方式查看WEB应用程序日志查看WEB应用程序容器的进程检查WEB应用程序停止WEB应用容器重启WEB应用容器移除WEB应用容器Docker 容器使用 Docker 客户端 docker 客户端非常简单 ,我们可以直接…

全网显示 IP 归属地,用上这个开源库,实现也太简单了

细心的小伙伴可能会发现,最近蘑菇新上线了 IP 属地的功能,小伙伴在发表动态、发表评论以及聊天的时候,都会显示自己的 IP 属地信息 动态显示IP属地 在蘑菇群聊中,也 可 以 展 示 IP 属 地,下面是小伙伴们在交流群中显…

【强化学习论文合集】十八.2019国际表征学习大会论文(ICLR2019)

强化学习(Reinforcement Learning, RL),又称再励学习、评价学习或增强学习,是机器学习的范式和方法论之一,用于描述和解决智能体(agent)在与环境的交互过程中通过学习策略以达成回报最大化或实现特定目标的问题。 本专栏整理了近几年国际顶级会议中,涉及强化学习(Rein…

面试官:你觉得你最大的缺点是什么?

面试官:你觉得你最大的缺点是什么? 前言 相信百分之80的同学们都会被问到这个问题:你觉得你最大的缺点是什么? 这也是求职者一个充满恐惧的问题,特别是我们程序员,大家在与人沟通并没有我们与代码沟通这么得心应手,如果你没回…

网络请求工具wget和curl

一. wget命令 wget命令来自于英文词组”web get“的缩写,其功能是用于从指定网址下载网络文件。 wget命令支持如HTTP、HTTPS、FTP等常见协议,可以在命令行中直接下载网络文件。 注意:不同busybox版本集成的wget命令,可能不…

统计检验分析

1. 正态分布检验 2. 统计检验 正态分布且方差齐非正态分布或方差不齐para test non-para testnon-pairedpaired2组 t-testWilcoxon rank-sum testWilcoxon signed-rank test3组及以上One way ANOVA Kruskal-Wallis testt-test: Paired t-test: 确定某个总体的成对测量值之间…

说说WM_DESTROY和WM_NCDESTROY的区别

在一个 Windows 窗口被销毁的时候,你会发现有两个比较类似的消息:WM_DESTROY和WM_NCDESTROY,那么,这俩兄弟之间有什么区别呢?今天就来讲讲。 不同之处在于,WM_DESTROY消息在窗口销毁序列的开头发送&#x…

MySQL执行计划误选索引及修改方案

MySQL的优化器 MySQL在执行查询语句时使用那个索引是由server层的优化器决定的。优化器的作用是找到一个最优的执行方案,用最小的代价去执行语句。由于MySQL使用预估的方式去选择索引,所以MySQL可能会出现选择索引出错的情况,无法命中最优索…

刘韧工作手册(2023年版)

刘韧于2022年9月22日为云算科技做内部演讲。由谭缘整理成文,李欣欣编辑,朱芳文审定。一、认知篇01 干中学,重复做。“学”是为了“习”,学到的东西是为了下一次习的时候,做得更好。“习”,是最终实践的成果…

WSL安装教程

wsl安装教程引言前期准备工作安装wsl第一步第二步 检测系统版本第三步 确定虚拟机特性第四步 下载Linux内核的更新包第五步 设置WSL 2作为默认版本第六步 选择Linux发行版本并设置Linux账号小TIPS引言 Windows Subsystem for Linux(简称WSL)是一个在Win…

大家都在画圣诞树,我们用代码敲一颗吧~圣诞树

前段时间发布的文章很多人问怎么操作的,今天具体说明一下:PS:如果需要下载可以点击左下角阅读全文下载代码使用更方便具体步骤如下:复制下面代码在电脑里面新建一个记事本,将代码复制到新建的记事本里保存记事本&#…

虚拟机网络连通性选择

做运维的朋友对于虚拟机这个概念应该不会陌生,这里不做讲解。今天主要想对虚拟机的网络连通性的选择方法做一个简单的介绍,如果是老人就没必要看了,此文章针对刚入门初次使用虚拟机进行测试、工作的小伙伴。 咱们常见的虚拟机平台软件有很多&…

【实时数仓】用户行为日志采集模块单机模式部署,Nginx介绍、安装和配置,采集模块集群部署并使用Nginx进行反向代理

文章目录一 日志采集模块1 打包单机部署(1)修改gmall2022-logger中的logback.xml配置文件(2)修改SpringBoot核心配置文件application.propeties(3)测试(4)程序运行流程2 Nginx&#…

Grafana 的介绍和安装

版本:9.3.1 介绍 Grafana是一款能够提供查询、告警和可视化指标、日志、链路跟踪的软件,并且提供了TSDB时序数据库用于存储数据。 一共有3个版本,Grafana OSS(开源版),Grafana Enterprise(企业…

【C++】红黑树

一.红黑树的概念与性质 1.概念 红黑树是二叉搜索数的一种, 相比于AVL树(二叉平衡搜索树)红黑树通过减少旋转的次数来进一步优化了查找效率, 在每个节点上增加一个存储位表示节点的颜色, Red or Black, 通过对任何一条从根到叶子的路径上各个节点着色方式的限制, 红黑树确保没…