【Verilog】握手信号实现跨时钟域数据传输-handshake

news2024/10/5 14:46:29

文章目录

      • handshake握手电路
      • 使用握手信号实现跨时钟域数据传输
        • 接口信号图
        • 题目描述
        • 解题思路
        • 代码设计
          • 数据发送模块data_driver
          • 数据接收模块data_receiver
        • testbench
        • 波形

handshake握手电路

  • 跨时钟域处理是个很庞大并且在设计中很常出现的问题
  • 握手(handshake)是用来处理信号跨时钟域传递的一个有效的方法
  • 使用握手协议方式处理跨时钟域数据传输,只需要对双方的握手信号(req和ack)分别使用脉冲检测方法进行同步
    • req与ack就和TCP传输之前的三次握手协议类似,是一种有来有往的信息请求与应答
  • 具体实现中
    1. 假设req、ack、data总线在初始化时都处于无效状态,发送域先把数据放入总线,随后发送有效的req信号给接收域
    2. 接收域在检测到有效的req信号后锁存数据总线,然后回送一个有效的ack信号表示读取完成应答
    3. 发送域在检测到有效ack信号后撤销当前的req信号,接收域在检测到req撤销(需要进行边沿检测)后也相应撤销ack信号,此时完成一次正常握手通信
    4. 此后,发送域可以继续开始下一次握手通信,如此循环
    • 该方式能够使接收到的数据稳定可靠,有效的避免了亚稳态的出现,但控制信号握手检测会消耗通信双方较多的时间

使用握手信号实现跨时钟域数据传输

  • 题目来源于牛客网刷题库
  • https://www.nowcoder.com/practice/2bf1b28a4e634d1ba447d3495134baac

接口信号图

在这里插入图片描述

题目描述

  • 分别编写一个数据发送模块和一个数据接收模块,模块的时钟信号分别为clk_a,clk_b
  • 两个时钟的频率不相同。数据发送模块循环发送0-7,在每个数据传输完成之后,间隔5个时钟,发送下一个数据,在两个模块之间添加必要的握手信号,保证数据传输不丢失
  • data_req和data_ack的作用说明:
    • data_req表示数据请求接受信号,当data_out发出时,该信号拉高,在确认数据被成功接收之前,保持为高,期间data应该保持不变,等待接收端接收数据
    • 当数据接收端检测到data_req为高,表示该时刻的信号data有效,保存数据,并拉高data_ack
    • 当数据发送端检测到data_ack,表示上一个发送的数据已经被接收,撤销data_req,然后可以改变数据data,等到下次发送时,再一次拉高data_req

解题思路

  • 分别编写数据发送模块与数据接收模块
  • 对于数据发送模块
    • 数据发送模块会向数据接收模块发送req请求,表示数据已经准备好,可以发送给接收模块
    • 收到接收模块的ack应答信号,表示数据接收模块同时已经接收到数据,此时需要撤销req请求,改变发送数据data,准备下一次的发送
  • 对于数据接收模块
    • 数据接收模块收到数据发送模块的req信号之后,皆可以进行数据data的接收
    • 当数据接收模块将data接收保存之后,就需要向数据发送模块返回ack信号,表示当前数据data已成功接收,无需继续保持,可以准备下一个要传输的数据了
  • 对于两模块的跨时钟问题
    • 由于两模块具有不同的时钟域,故在进行数据传递时,若直接使用接收到的信号,会产生亚稳态问题,故在两个模块中都需要对不同时钟域传递而来的信号进行同步

代码设计

数据发送模块data_driver
`timescale 1ns/1ns

// 数据发送模块
module data_driver(
	input            clk_a,
	input            rst_n,
	input            data_ack,
	output reg [3:0] data,
	output reg       data_req
);

reg data_ack_1;
reg data_ack_2;
reg [2:0] count;

// 打两拍消除亚稳态
always @(posedge clk_a or negedge rst_n) begin
  if (~rst_n) begin
    data_ack_1 <= 1'b0;
    data_ack_2 <= 1'b0;
  end else begin
    data_ack_1 <= data_ack;
    data_ack_2 <= data_ack_1;
  end
end

// 输出数据data
always @(posedge clk_a or negedge rst_n) begin
  if (~rst_n) begin
    data <= 4'b0;
  end else if (data_ack_1 && !data_ack_2) begin
    if (data == 4'd7) begin
      data <= 4'd0;
    end else begin
      data <= data + 1;
    end
  end else begin
    data <= data;
  end
end

// count计数模块
always @(posedge clk_a or negedge rst_n) begin
  if (~rst_n) begin
    count <= 3'b0;
  end else if (data_ack_1 && !data_ack_2) begin
    count <= 3'b0;
  end else if (data_req) begin
    count <= count;
  end else begin
    count <= count + 1;
  end
end

// data_req信号
always @(posedge clk_a or negedge rst_n) begin
  if (~rst_n) begin
    data_req <= 1'b0;
  end else if (count == 3'b100) begin
    data_req <= 1'b1;
  end else if (data_ack_1 && !data_ack_2) begin
    data_req <= 1'b0;
  end else begin
    data_req <= data_req;
  end
end

endmodule
数据接收模块data_receiver
`timescale 1ns/1ns

// 数据接收模块
module data_receiver(
	input            clk_b,
	input            rst_n,
	input      [3:0] data,
	input            data_req,
	output reg       data_ack
);

reg data_req_1;
reg data_req_2;
reg [3:0] data_in;

// 打两拍消除亚稳态
always @(posedge clk_b or negedge rst_n) begin
  if (~rst_n) begin
    data_req_1 <= 1'b0;
    data_req_2 <= 1'b0;
  end else begin
    data_req_1 <= data_req;
    data_req_2 <= data_req_1;
  end
end

// data_ack信号
always @(posedge clk_b or negedge rst_n) begin
  if (~rst_n) begin
    data_ack <= 1'b0;
  end else if (data_req_1) begin
    data_ack <= 1'b1;
  end else begin
    data_ack <= 1'b0;
  end
end

// 接收data数据到data_in
always @(posedge clk_b or negedge rst_n) begin
  if (~rst_n) begin
    data_in <= 4'b0;
  end else if (data_req_1 && !data_req_2) begin
    data_in <= data;
  end else begin
    data_in <= data_in;
  end
end

endmodule

testbench

`timescale  1ns / 1ps
`include "data_driver.v"
`include "data_receiver.v"

module tb_handshake;

// data_driver Parameters
parameter PERIOD1  = 10;
parameter PERIOD2  = 20; 


// data_driver Inputs
reg   clk_a                                = 0 ;
reg   rst_n                                = 0 ;

// data_receiver Inputs
reg   clk_b                                = 0 ;

wire  [3:0] data;

initial
begin
    forever #(PERIOD1/2)  clk_a=~clk_a;
end

initial
begin
    forever #(PERIOD2/2) clk_b = ~clk_b;
end

initial
begin
    #(PERIOD1*2) rst_n  =  1;
end

data_driver  u_data_driver (
    .clk_a                   ( clk_a           ),
    .rst_n                   ( rst_n           ),
    .data_ack                ( data_ack        ),

    .data                    ( data      [3:0] ),
    .data_req                ( data_req        )
);

data_receiver  u_data_receiver (
    .clk_b                   ( clk_b           ),
    .rst_n                   ( rst_n           ),
    .data                    ( data      [3:0] ),
    .data_req                ( data_req        ),

    .data_ack                ( data_ack        )
);

initial
begin
  $dumpfile("handshake.vcd");
  $dumpvars;
  #1000
  $finish;
end

endmodule

波形

波形图

  • 波形解释
    1. 按照题目要求,data循环发送0~7
    2. 按照题目要求,在一个data成功发送后,需要间隔5个时钟周期,在data_req信号由高到低再到高,中间间隔为5个clk_a周期,即为5个数据发送模块的时钟间隔
    3. 从整个系统的宏观角度进行观测
      • 在clk_a时钟下的data_req拉高发送请求后,clk_b时钟下的data_ack拉高表示数据已接收,紧接着data_req拉低进行数据准备阶段的间隔
      • 在clk_b时种下的data_ack拉高发送反馈后,clk_a时钟下的data_req拉低表示当前数据已停止发送,紧接着data_ack持续拉高表示可以发送新数据
    4. 从数据发送端进行观测
      • 发送数据的同时,data_req持续拉高,表示正在持续进行当前数据的发送,当接收到data_ack信号后,data_req拉低并停止当前数据的发送,准备新数据
      • 固定间隔之后,再次进行数据的请求发送与数据发送
    5. 从数据接收端进行观测
      • 收到data_req信号,开始接收发送来的data数据,当数据成功接收保存后,返回data_ack表示可以接收新数据
      • 反馈data_ack信号的一段时间后,会重新接收到data_req信号表示新数据已经发送,开始新一轮的数据接收工作

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/393894.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

数字化引领乡村振兴,VR全景助力数字乡村建设

一、数字乡村建设加速经济发展随着数字化建设的推进&#xff0c;数字化农业产业正在成为农业产业发展的主导力量&#xff0c;因此数字化技术赋予农业产业竞争力的能力不可小觑。数字化乡村建设背景下&#xff0c;数字化信息技术将全面改造升级农村产业&#xff0c;从农业、养殖…

new set数组对象去重失败

我们知道Set是JS的一个种新的数据结构&#xff0c;和数组类似&#xff0c;和数组不同的是它可以去重&#xff0c;比如存入两个1或两个"123"&#xff0c;只有1条数据会存入成功&#xff0c;但有个特殊情况&#xff0c;如果添加到set的值是引用类型&#xff0c;比如数组…

DataGear 4.5.1 发布,数据可视化分析平台

DataGear 4.5.1 发布&#xff0c;严重 BUG 修复&#xff0c;具体更新内容如下&#xff1a; 修复&#xff1a;修复SQL数据集对于DB2、SQLite等数据源预览时会报错的BUG&#xff1b;修复&#xff1a;修复系统对于MySQL、MariaDB等数据源中无符号数值类型有时报错的BUG&#xff1…

借助媛如意让ROS机器人turtlesim画出美丽的曲线-云课版本

首先安装并打开猿如意其次打开蓝桥云课ROS并加入课程在猿如意输入问题得到答案在蓝桥云课ROS验证如何通过turtlesim入门ROS机器人您可以通过以下步骤入门ROS机器人&#xff1a;安装ROS&#xff1a;您需要安装ROS&#xff0c;可以在ROS官网上找到安装指南。安装turtlesim&#x…

英文拼写检查:TX Spell .NET for .NET 10.0 Crack

用于 Windows 窗体应用程序的 TX Text Control .NET 的强大拼写检查和语言工具。 表现 可靠准确的拼写检查 使用 TX Spell .NET for Windows Forms&#xff0c;您可以为基于 TX Text Control 的应用程序添加极其快速、高度可靠和非常准确的拼写检查。将 TX Spell .NET for Wind…

mysql中的共享锁,排他锁,间隙锁,意向锁及死锁机制

一、前言&#xff08;以下均为读完 高性能Mysql第四版 后的个人理解&#xff0c;建议阅读&#xff0c;挺不错的&#xff09;在写锁机制前先简单贴出mysql InnoDB引擎中的事务特性与隔离级别&#xff1a;事务的ACID标准(1)原子性-atomicity&#xff1a;一个事务作为一个不可分割…

vue中使用富文本Tinymce

本文是直接引用vue-element-admin中的&#xff0c;在此记录方便下次使用&#xff0c;日后再详细注释。 再src下的components下创建Tinymce 下包含以下文件 index.vue是主体文件 plugins.js 是 插件配置 toolbar.js 是 粗体、斜体等配置 EditorImage.vue 是右上角的上传 封装后…

一文速学-GBDT模型算法原理以及实现+Python项目实战

目录 前言 一、GBDT算法概述 1.决策树 2.Boosting 3.梯度提升 使用梯度上升找到最佳参数 二、GBDT算法原理 1.计算原理 2.预测原理 三、实例算法实现 1.模型训练阶段 1&#xff09;初始化弱学习器 2&#xff09;对于建立M棵分类回归树​&#xff1a; 四、Python实现 …

Spring_让Spring 依赖注入彻底废掉

在Spring之基于注解方式实例化BeanDefinition&#xff08;1&#xff09;_chen_yao_kerr的博客-CSDN博客中&#xff0c;我们在末尾处分享了一个甜点&#xff0c;就是关于实现了BeanDefinitionRegistryPostProcessor也可以实例化bean的操作&#xff0c;首先需要去了解一下那篇博客…

宝塔(二):升级JDK版本

目录 背景 一、下载JDK17 二、配置环境变量 三、配置新的JDK路径 背景 宝塔的软件商店只有JDK8&#xff0c;不满足我当前项目所需的JDK版本&#xff0c;因此想对JDK版本进行升级&#xff0c;升级为JDK17。 一、下载JDK17 先进入 /usr/lib/jvm 目录 点击终端&#xff0c;进…

OpenCV——line、circle、rectangle、ellipse、polylines函数的使用和绘制文本putText函数以及绘制中文的方法。

学习OpenCV的过程中&#xff0c;画图是不可避免的&#xff0c;本篇文章旨在介绍OpenCV中与画图相关的基础函数。 1、画线条——line()函数 介绍&#xff1a; cv2.line(image, start_point, end_point, color, thickness)参数&#xff1a; image: 图像start_point&#xff1a…

拉链表(小记)

拉链表创建外部表将编写的orders.txt上传到hdfs创建一个增减分区表将orders表的数据传入ods_orders_inc查看分区创建历史表插入数据操作创建外部表 create database lalian; use lalian;create external table orders(orderId int,createDate string,modifiedTime string,stat…

Redis集群方案应该怎么做?

今天我们来跟大家唠一唠JAVA核心技术-RedisRedis是一款流行的内存数据库&#xff0c;适用于高性能的数据缓存和实时数据处理。当需要处理大量数据时&#xff0c;可以使用Redis集群来提高性能和可用性。Redis在单节点模式下&#xff0c;虽然可以支持高并发、快速读写、丰富的数据…

sizeof与一维数组和二维数组

&#x1f355;博客主页&#xff1a;️自信不孤单 &#x1f36c;文章专栏&#xff1a;C语言 &#x1f35a;代码仓库&#xff1a;破浪晓梦 &#x1f36d;欢迎关注&#xff1a;欢迎大家点赞收藏关注 sizeof与一维数组和二维数组 文章目录sizeof与一维数组和二维数组前言1. sizeof与…

专业版即将支持自定义场景测试

物联网 MQTT 测试云服务 XMeter Cloud 专业版于 2022 年底上线后&#xff0c;已有不少用户试用&#xff0c;对数千甚至上万规模的 MQTT 并发连接和消息吞吐场景进行测试。同时我们也收到了希望支持更多物联网协议测试的需求反馈。 新年伊始&#xff0c;XMeter 团队全力聚焦于 …

搭建Gerrit环境Ubuntu

搭建Gerrit环境 1.安装apache sudo apt-get install apache2 注意:To run Gerrit behind an Apache server using mod_proxy, enable the necessary Apache2 modules: 执行:sudo a2enmod proxy_http 执行:sudo a2enmod ssl 使新的配置生效&#xff0c;需要执行如下命令:serv…

ctfshow【菜狗杯】wp

文章目录webweb签到web2 c0me_t0_s1gn我的眼里只有$抽老婆一言既出驷马难追TapTapTapWebshell化零为整无一幸免无一幸免_FIXED传说之下&#xff08;雾&#xff09;算力超群算力升级easyPytHon_P遍地飘零茶歇区小舔田&#xff1f;LSB探姬Is_Not_Obfuscateweb web签到 <?ph…

在社交媒体上行之有效的个人IP趋势

如果您认为无论是获得一份工作、建立一家企业还是推动个人职业发展&#xff0c;社交媒体都是帮助您实现目标的可靠工具&#xff0c;那么个人IP就是推动这一工具前进的燃料。个人IP反映了您是谁&#xff0c;您在所处领域的专业程度&#xff0c;以及您与他人的区别。社交媒体将有…

打破原来软件开发模式的无代码开发平台

前言传统的系统开发是需要大量的时间和成本的&#xff0c;如今无代码开发平台的出现就改变了这种状况。那么你知道什么是无代码开发平台?无代码开发对企业来说有什么特殊的优势么?什么是无代码平台无代码平台指的是&#xff1a;使用者无需懂代码或手写代码&#xff0c;只需通…

代码分享:gprMax钻孔地质雷达波场模拟

代码分享&#xff1a;gprMax钻孔地质雷达波场模拟 前言 gprMax模拟地面地质雷达被广泛使用&#xff0c;但是在钻孔内进行地质雷达的模拟较少。本博文尝试利用gprMax进行钻孔地质雷达的模拟&#xff0c;代码仅供大家借鉴。 文章目录代码分享&#xff1a;gprMax钻孔地质雷达波场…