uvm sequence

news2025/3/31 3:48:33

UVM Sequence 是验证环境中生成和控制事务(Transaction)流的核心机制,它通过动态生成、随机化和调度事务,实现灵活多样的测试场景。以下是Sequence的详细解析:


Sequence 的核心作用

  1. 事务流生成:通过 uvm_sequence 类定义事务的生成逻辑(如顺序、随机化、约束)。
  2. 场景控制:支持层次化、并发、优先级调度等复杂场景。
  3. 复用性:可跨测试用例复用,提升验证效率。
  4. 动态配置:通过Factory机制替换Sequence,快速切换测试模式。

Sequence 的基本结构

Sequence继承自 uvm_sequence 类,需定义 body() 任务实现事务流逻辑。

1. 定义与注册
class basic_sequence extends uvm_sequence;
  `uvm_object_utils(basic_sequence)  // 注册到Factory

  // 构造函数(可选)
  function new(string name = "basic_sequence");
    super.new(name);
  endfunction

  // 核心方法:定义事务生成逻辑
  virtual task body();
    // 生成并发送事务
  endtask
endclass
2. 生成事务的典型流程
task body();
  my_transaction tr;  // 定义事务对象
  repeat(10) begin
    // 创建事务对象
    tr = my_transaction::type_id::create("tr");
    // 启动事务发送流程
    start_item(tr);    // 请求Sequencer的仲裁权限
    assert(tr.randomize());  // 随机化事务
    finish_item(tr);   // 发送事务到Driver
  end
endtask

Sequence 的关键机制

1. 与 Sequencer 和 Driver 的交互
  • Sequencer:仲裁Sequence的优先级,管理事务发送顺序。
  • Driver:通过 get_next_item() 获取事务,驱动到DUT。
  • 数据流
    Sequence -> Sequencer -> Driver -> DUT
    
2. 事务发送方法
  • uvm_do:简化事务创建、随机化和发送流程。

    task body();
      repeat(5) begin
        `uvm_do(tr)  // 等价于:create -> start_item -> randomize -> finish_item
      end
    endtask
    
  • uvm_do_with:添加内联约束。

    `uvm_do_with(tr, { tr.addr inside {[0x100:0x200]}; })
    
3. 层次化 Sequence
  • 嵌套调用:一个Sequence可以启动其他Sequence。
    task body();
      // 启动另一个Sequence(如配置寄存器的Sequence)
      config_sequence seq = config_sequence::type_id::create("seq");
      seq.start(m_sequencer);  // 绑定到当前Sequencer
    endtask
    
4. 虚拟 Sequence(Virtual Sequence)
  • 作用:协调多个Agent的Sequencer,实现跨接口的同步场景。
  • 实现
    1. 定义虚拟Sequence类。
    2. 在Test中启动虚拟Sequence,并传递多个Sequencer句柄。
    class virtual_sequence extends uvm_sequence;
      uvm_sequencer eth_seqr;  // 以太网接口Sequencer
      uvm_sequencer pcie_seqr; // PCIe接口Sequencer
    
      task body();
        fork
          eth_sequence.start(eth_seqr);
          pcie_sequence.start(pcie_seqr);
        join
      endtask
    endclass
    

Sequence 的高级特性

1. 随机化控制
  • 动态约束:通过 constraint_mode() 动态启用/禁用约束。

    tr = my_transaction::type_id::create("tr");
    tr.valid_addr.constraint_mode(0);  // 关闭地址约束
    assert(tr.randomize());
    
  • 权重分布:使用 dist 控制字段的随机概率。

    constraint wr_ratio {
      wr_en dist {1 := 70, 0 := 30};  // 70%写操作,30%读操作
    }
    
2. 同步与等待
  • 事件同步:使用 uvm_event 实现跨Sequence同步。

    uvm_event sync_event = new();
    
    // Sequence A
    task body();
      // ... 执行操作
      sync_event.trigger();  // 触发事件
    endtask
    
    // Sequence B
    task body();
      sync_event.wait_trigger();  // 等待事件
    endtask
    
  • 延迟控制:插入固定或随机延迟。

    repeat(3) begin
      `uvm_do(tr)
      #10ns;  // 插入延迟
    end
    
3. 优先级与仲裁
  • 优先级设置:通过 start() 方法的参数指定优先级。

    high_priority_seq.start(m_sequencer, this, 500);  // 优先级=500(默认=100)
    
  • Sequencer仲裁:Sequencer根据优先级调度多个Sequence。

4. Factory 重载
  • 动态替换Sequence:在Test中覆盖默认Sequence类型。
    class debug_sequence extends basic_sequence;
      `uvm_object_utils(debug_sequence)
      // 添加调试逻辑
    endclass
    
    // 在Test中替换
    initial begin
      debug_sequence::type_id::set_type_override(basic_sequence::get_type());
    end
    

Sequence 的生命周期方法

  • pre_body():在 body() 前执行,用于初始化或配置。
  • post_body():在 body() 后执行,用于清理或结束操作。
  • pre_do() / mid_do() / post_do():细粒度控制事务发送过程。
task pre_body();
  `uvm_info("SEQ", "Sequence started", UVM_LOW)
endtask

task post_body();
  `uvm_info("SEQ", "Sequence completed", UVM_LOW)
endtask

典型应用场景

1. 基础激励生成
class write_sequence extends uvm_sequence;
  task body();
    my_transaction tr;
    repeat(20) begin
      `uvm_do_with(tr, { tr.wr_en == 1; tr.addr inside {[0x100:0x1FF]}; })
    end
  endtask
endclass
2. 协议场景测试
class burst_sequence extends uvm_sequence;
  task body();
    // 发送配置命令
    config_sequence cfg_seq;
    cfg_seq = config_sequence::type_id::create("cfg_seq");
    cfg_seq.start(m_sequencer);

    // 发送突发传输事务
    `uvm_do_with(tr, { tr.burst_length == 8; })
  endtask
endclass
3. 错误注入测试
class error_sequence extends uvm_sequence;
  task body();
    my_transaction tr;
    `uvm_do_with(tr, { tr.error == 1; })  // 强制生成错误事务
  endtask
endclass

调试与最佳实践

  1. 日志输出:使用 uvm_info 跟踪Sequence执行状态。

    `uvm_info("SEQ", $sformatf("Sent transaction: addr=0x%h", tr.addr), UVM_MEDIUM)
    
  2. 约束调试:使用 rand_mode()constraint_mode() 定位随机化失败问题。

  3. 避免全局变量:通过 uvm_config_db 传递配置参数,而非全局变量。

  4. 覆盖率导向:结合功能覆盖率分析,优化Sequence的约束。


总结

UVM Sequence 是验证环境中动态生成和控制事务流的核心组件,通过灵活的随机化、层次化设计和同步机制,能够高效构建复杂测试场景。合理使用虚拟Sequence、Factory重载和调试工具,可显著提升验证效率和场景覆盖率。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2323908.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

电机控制常见面试问题(二十)

文章目录 一.整流电路绕组接法二.电机为什么需要转速器三.电机转矩产生原理四.电机控制中载波频率大小的确定五.开关周期 Tpwm 一.整流电路绕组接法 为了引出直流的输出,一定要在整流变压器的二次侧引出零线,所以二次侧绕组必须接成星形 一次绕组必须要…

小爱控制via电视浏览器搜索图片-Homeassistant重制上一个自动化

制作自动化详情 为了完成图片搜,暂定指令找找{描述} 在执行脚本的adb地方输入以下指令,百度 因安全不让在图片地址直接搜转用bing >- >am start -n mark.via.gp/mark.via.Shell -a android.intent.action.VIEW -d https://cn.bing.com/images/…

unity一个图片的物体,会有透明的效果

如图 想要去掉这个透明效果 选择一个高层级的layer即可。

docker网桥问题导致ldap组件安装失败分析解决

使用pass_install_x86_64_0124版部署k8s底座、kem; 问题:一台kem节点部署ldap组件失败 解决:恢复问题主机的docker0网卡,重新部署kem相关组件 二、问题详情 现象描述 ansible部署kem组件 TASK [kem : start ldap] **********…

【Python】pillow库学习笔记1-Image类

《Python语言程序设计基础 》第3版,嵩天 黄天羽 杨雅婷著,P293 1.pillow库概述 Pillow 库是Python图像处理重要的第三方库。 Pillow库是PIL (Python image library) 库的一个扩展,需要通过pip工具安装。安装PIL库需要注意,安装…

智能网联交通加速落地,光路科技TSN技术助推车路云一体化发展

今日,为期两天的第二十七届高速公路信息化大会在青岛国际会展中心(红岛馆)圆满落幕。本次大会以“数智转型安全”为主题,聚焦高速公路数字化转型、车路云协同以及新一代信息技术的融合应用。会议汇聚了交通行业的专家学者、企业代…

boost.asio

as(async):异步 同步io: reactor (非阻塞)(需要注册一次,在等待消息时可以干别的事) 阻塞io网络模型 接口:read\accept\connect\write 接口返回时,io完成 异步…

当贝AI知识库评测 AI如何让知识检索快人一步

近日,国内领先的人工智能服务商当贝AI正式推出“个人知识库”功能,这一创新性工具迅速引发行业关注。在信息爆炸的时代,如何高效管理个人知识资产、快速获取精准答案成为用户的核心需求。当贝AI通过将“闭卷考试”变为“开卷考试”的独特设计,为用户打造了一个高度个性化的智能…

深度解读:智能体2.0 AI Agent多推演进

AI Agent即AI 代理,长期以来,研究人员一直在追求更完美的AI,可以与人类相当、甚至是超越人类。在1950年代,AIan Turing就将“智能”的概念扩展到了人工实体,并提出了著名的图灵测试。这些人工智能实体就被称为——Agen…

Golang 的 GMP 调度机制常见问题及解答

文章目录 Golang GMP 调度模型详解常见问题基础概念1. GMP 各组件的作用是什么?2. 为什么 Go 需要自己的调度器?3. GOMAXPROCS 的作用是什么? 调度流程4. Goroutine 如何被调度到 M 上执行?5. 系统调用会阻塞整个线程吗&#xff1…

项目-苍穹外卖(十五) Apache ECharts+数据统计

一、介绍 二、营业额统计 需求分析和设计&#xff1a; Controller: Service: /*** 营业额统计* param begindate* param enddate* return* */Overridepublic TurnoverReportVO turnoverStatistics(LocalDate begindate, LocalDate enddate) {//创建时间集合List<LocalDate&…

Spring Data审计利器:@LastModifiedDate详解(依赖关系补充篇)!!!

&#x1f552; Spring Data审计利器&#xff1a;LastModifiedDate详解&#x1f525;&#xff08;依赖关系补充篇&#xff09; &#x1f50c; 核心依赖解析 使用LastModifiedDate必须知道的依赖关系 #mermaid-svg-qm1OUa9Era9ktbeK {font-family:"trebuchet ms",verd…

Tweak Power:全方位电脑系统优化的高效工具

Tweak Power&#xff08;系统&#xff09; Tweak Power是一款功能强大的系统优化工具&#xff0c;专为提升Windows电脑的性能和稳定性而设计。它提供了全面的清理、优化和调整选项&#xff0c;帮助用户轻松管理系统资源、提高运行速度、延长设备寿命。 快速扫描并清理系统垃圾…

CLion下载安装(Windows11)

目录 CLion工具下载安装其他 CLion CLion-2024.1.4.exe 工具 系统&#xff1a;Windows 11 下载 1.通过百度网盘分享的文件&#xff1a;CLion-2024.1.4.exe 链接&#xff1a;https://pan.baidu.com/s/1-zH0rZPCZtQ60IqdHA7Cew?pwdux5a 提取码&#xff1a;ux5a 安装 打开…

如何用 Postman 进行高效的 Mock 测试?

Postman 是一个强大的 API 开发和测试工具&#xff0c;它可以让你轻松地创建和发送各种 HTTP 请求&#xff0c;查看响应结果&#xff0c;并进行调试和优化。但是有时候&#xff0c;你可能还没有开发好后端服务&#xff0c;或者想要模拟不同的响应场景&#xff0c;这时候就可以使…

DeepSeek API集成开发指南——Flask示例实践

DeepSeek API集成开发指南——Flask示例实践 序言&#xff1a;智能化开发新范式 DeepSeek API提供了覆盖自然语言处理、代码生成等多领域的先进AI能力。本文将以一个功能完备的Flask示例系统为载体&#xff0c;详解API的集成方法与最佳实践。通过本案例&#xff0c;开发者可快…

【天梯赛】L2-004 这是二叉搜索树吗(经典问题C++)

解题反思 //镜像树满足&#xff1a;左子树>根节点>右子树 //特殊&#xff1a;独腿二叉树&#xff0c;如pre {2&#xff0c;3&#xff0c;4}&#xff0c;递归函数用if(root tail) return&#xff1b;无法识别这种二叉树 // 用ismirror来将一般二叉树和镜像二叉搜索树的…

Postman 全局 Header 如何设置?全局设置了解一下

在使用 Postman 设置全局请求头信息的关键步骤包括&#xff1a;在集合设置页面中添加所需的头部信息&#xff0c;并确保选择适当的类型和值&#xff1b;如果需要&#xff0c;可通过 JavaScript 脚本添加其他请求头&#xff1b;最后&#xff0c;验证设置是否成功生效。 Postman…

科技赋能建筑业变革:中建海龙创新引领高质量发展新路径

在建筑工业化浪潮中&#xff0c;中建海龙科技有限公司&#xff08;以下简称“中建海龙”&#xff09;凭借深厚的技术积累与持续创新&#xff0c;成为推动行业转型升级的标杆企业。作为中国建筑国际集团旗下核心科技力量&#xff0c;中建海龙深耕模块化集成建筑&#xff08;MiC&…

QT计算器开发

1.项目架构 1.图形化界面 ​ 2.widget.h​ #ifndef WIDGET_H #define WIDGET_H#include <QWidget> #include <QString> #include <QStack>QT_BEGIN_NAMESPACE namespace Ui { class Widget; } QT_END_NAMESPACEclass Widget : public QWidget {Q_OBJECTp…