FPGA学习(4)-时序逻辑电路实现D触发器与计数器,LED灯闪烁

news2024/10/9 12:07:12

1.实现原理

开发板的频率为50MHz,即一个周期为20ns,实现500ms一次翻转,即计数25_000_000次。每次时钟沿来一次,就计一次。

2. 新建工程

2.1源文件代码

在下面=左边的变量值要变的,就用reg。不涉及的缺省;不加位宽默认为10进制;always后面要跟完整,才不会报错;注意格式用法 reg [24:0]counter,数据类型声明格式。

module led_light(
    reset,
    clk,
    led
    );
    
    input reset;
    input clk;
    output reg led;
    reg [24:0]counter;
    
    always@(posedge clk or negedge reset)
    if(!reset)
    counter<=0;
    else if (counter == 25_000_000)
    counter<=0;
    else
    counter<=counter+1'd1;
    
    always@(posedge clk or negedge reset)
    if(!reset)
    led<=1'b0;
    else if(counter == 25_000_000)
    led<=!led;
    
    
endmodule

点开schematic,可看相关电路图。 

2.2添加仿真文件 

 关于复位信号延时201ns,视频说怕与时钟信号产生冲突,clk的上升沿与复位信号之间的冲突,这点我不是很理解;begin与end必须配套使用;仿真函数名不能与源函数名同名。

`timescale 1ns / 1ns
module led_light_tb();
reg clk;
reg reset;
wire led;
led_light led_light(
    .reset(reset),
    .clk(clk),
    .led(led)
);


initial clk=1;
always #10 clk=~clk;

initial begin
    reset =0;
    #201;
    reset=1;
    #2_000_000_000;
    $stop;
end
endmodule

点击simulation,观察仿真波形。vivado仿真中默认1ms,点击这个符号让仿真时间延长到程序中所仿真的时间。

点击led选中,然后上面的+图标是增加一根标注线,选中后,点击左箭头图标,标注线会位于测量起始信号位置,再加一根,弄在右边,点击第一根上面的数字,即可测量信号宽度。

 

 

2.3 其他步骤 

跟之前的一样进行程序烧录。

2.4实验现象

板子上的LED灯按照程序设定时间闪烁,但按复位键没用。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2198982.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

自动气象观测系统的工作原理

TH-QC8自动气象观测系统是一种能够自动采集、存储、处理和传输气象数据的系统&#xff0c;它广泛应用于气象监测、农业生产、航空安全、交通运输以及科学研究等领域。以下是对自动气象观测系统的详细介绍&#xff1a; 工作原理 自动气象观测系统的工作原理相对简单但高效。它首…

真心建议大家都去冲一冲AI产品经理,AI真的能帮你实现职场跃迁

你有没有想过&#xff1a; AI不仅能帮你实现工作提效 还能帮你实现职场跃迁&#xff01; 根据行业报告&#xff0c;近年来AIGC&#xff08;AI Generated Content&#xff09; 领域岗位数量井喷式增长&#xff0c;AI大模型产品经理作为连接技术与市场的桥梁&#xff0c;正扮演…

Git的安装配置

目录 一、git和svn的区别是什么 二、下载Git 三、安装 四、使用 一、git和svn的区别是什么 1、git是分布式的&#xff0c;svn是集中的式的 2、git存储数据时是按元数据的方式存储&#xff0c;而svn是按文件的方式存储 3、git分支和svn的分支不一样 4、git没有全局版本号…

认知战认知作战:萨尔浒之战-努尔哈赤认知作战下的明朝崩溃

认知战认知作战&#xff1a;萨尔浒之战-努尔哈赤认知作战下的明朝崩溃 关键词&#xff1a;萨尔浒战役,后金,努尔哈赤,明朝,认知作战,地形误判,舆论战,军事胜利,盟友支持,内部腐败,认知作战,新质生产力,人类命运共同体,认知战,认知域,认知战研究中心,认知战争,认知战战术,认知战…

雨天道路目标检测数据集(黑夜和白天) 3600张 雨天 带标注 voc yolo 7类

雨天道路目标检测数据集 3600张 雨天 带标注 voc yolo 白天 分类名: (图片张数&#xff0c; 标注个数) car :(1134&#xff0c;10528) truck:(394&#xff0c;722) per son:(387&#xff0c;1585) rider: (47&#xff0c; 56) bike:(64&#xff0c;86) bus:(187&#xff0c; 25…

iOS swift5 苹果app审核被拒 1.4.1

文章目录 1.被拒2. 官网1.4.1的规定3.如何解决参考博客 1.被拒 准则1.4.1-安全-人身伤害 该应用程序连接到外部医疗硬件&#xff0c;以提供医疗服务。然而&#xff0c;为了遵守准则1.4.1&#xff0c;您必须&#xff1a; -提供来自适当监管机构的文件&#xff0c;证明应用程序…

【DataSophon】DataSophon1.2.1 整合Zeppelin并配置Hive|Trino|Spark解释器

目录 ​一、Zeppelin简介 二、实现步骤 2.1 Zeppelin包下载 2.2 work配置文件 三、配置常用解释器 3.1配置Hive解释器 3.2 配置trino解释器 3.3 配置Spark解释器 一、Zeppelin简介 Zeppelin是Apache基金会下的一个开源框架&#xff0c;它提供了一个数据可视化的框架&am…

使用java分别输出二叉树的深度遍历和广度遍历

代码功能 这段Java代码定义了一个二叉树&#xff0c;并实现了两种遍历方法&#xff1a;深度优先搜索&#xff08;DFS&#xff09;和广度优先搜索&#xff08;BFS&#xff09;。通过DFS&#xff0c;代码从根节点开始&#xff0c;优先访问子节点&#xff0c;直至最深的节点&…

气膜体育馆在学校中的应用:创新教育设施的未来—轻空间

随着气膜体育馆的广泛推广&#xff0c;越来越多的学校开始探索其在日常教学和活动中的应用&#xff0c;开创了新的教育模式和学生体验。 提升体能训练与健康教育 气膜体育馆以其卓越的设施条件&#xff0c;为学生的体能训练提供了理想的场所。在这里&#xff0c;专业教练可以为…

理解 ECMAScript 与 JavaScript:标准与实现的区别

文章目录 1.引言 2.ECMAScript 是什么&#xff1f; 3.JavaScript 是什么&#xff1f; 4.ECMAScript 和 JavaScript 的关系 5.ECMAScript 的发展历史 6.JavaScript 的浏览器特性 7.ECMAScript 与 JavaScript 的区别 8.常见误区 9.结语 1.引言 在前端开发的世界中&#…

食品企业为什么要参加第111届深圳秋糖酒会

第111届深圳秋季全国糖酒会将于2024年10月29日至31日在深圳国际会展中心&#xff08;宝安&#xff09;隆重举行&#xff0c;展览规模达28万平方米&#xff0c;刷新了秋季糖酒会的历史纪录。如此宏大的规模&#xff0c;不仅彰显了糖酒会的影响力&#xff0c;也预示着本次展会将汇…

利士策分享,节后重启,再启新程

利士策分享&#xff0c;节后重启&#xff0c;再启新程 随着日历翻过最后一页法定节假日的篇章&#xff0c;我们再次回到了熟悉而繁忙的工作岗位上。 那些与家人团聚的温馨时光&#xff0c;那些悠然自得的休闲日子&#xff0c;仿佛还在眼前&#xff0c;却又已悄然远去。 面对这…

【Golang】关于Go语言中的IO操作

✨✨ 欢迎大家来到景天科技苑✨✨ &#x1f388;&#x1f388; 养成好习惯&#xff0c;先赞后看哦~&#x1f388;&#x1f388; &#x1f3c6; 作者简介&#xff1a;景天科技苑 &#x1f3c6;《头衔》&#xff1a;大厂架构师&#xff0c;华为云开发者社区专家博主&#xff0c;…

基于SpringBoot+Vue的流浪猫狗救助系统

作者&#xff1a;计算机学姐 开发技术&#xff1a;SpringBoot、SSM、Vue、MySQL、JSP、ElementUI、Python、小程序等&#xff0c;“文末源码”。 专栏推荐&#xff1a;前后端分离项目源码、SpringBoot项目源码、Vue项目源码、SSM项目源码、微信小程序源码 精品专栏&#xff1a;…

C0022.在Clion中快速生成函数中形参注释及添加函数说明

快速生成函数中形参注释 只需要在函数前输入/**&#xff0c;然后按回车&#xff0c;这样即可快速生成如下函数形参注释。 新增函数描述 在设置界面中的搜索框中输入Code Generation&#xff0c;然后勾选 如下选择框&#xff0c;这样就可以在如上生成的代码快中新增函数描述栏…

从零开始:全面掌握AI大模型的终极学习指南,学废了 我这就去手撕面试官!

学习AI大模型从零基础入门到精通是一个循序渐进的过程&#xff0c;涉及到理论知识、编程技能和实践经验。下面是一份详细的指南&#xff0c;帮助你从头开始学习并逐步掌握AI大模型的构建与应用。 第一阶段&#xff08;10天&#xff09;&#xff1a;初阶应用 该阶段让大家对大…

深入理解Transformer的笔记记录(非小白贴)NNLM → Word2Vec

文章的整体介绍顺序为&#xff1a; NNLM → Word2Vec → Seq2Seq → Seq2Seq with Attention → Transformer → Elmo → GPT → BERT 自然语言处理相关任务中要将自然语言交给机器学习中的算法来处理&#xff0c;通常需要将语言数学化&#xff0c;因为计算机机器只认数学符号…

SDUT数据结构与算法第二次机测

目录 7-1 括号匹配 7-2 后缀式求值 7-3 表达式转换 7-4 【模板】KMP字符串匹配 比较详细注释和图解请看KMP——字符串匹配-CSDN博客&#xff0c;&#xff08;点击链接可跳转&#xff09;一看就会 7-5 约瑟夫环&#xff08;押题&#xff0c;重要&#xff09; 7-6 单调栈&a…

加密软件的桌面管理系统有什么?

1、IT资源管控&#xff1a;协助企事业单位管理者对内部计算机、宽带、打印、外围设备等IT资源进行管控&#xff0c;提高IT资源利用率。 2、规范内网行为&#xff1a;规范员工的计算机使用行为、网络使用行为、IT资产使用行为、设备使用行为 等&#xff0c;令员工活动在合规范围…

YOLOv11改进,YOLOv11改进损失函数采用Powerful-IoU:自适应惩罚因子和基于锚框质量的梯度调节函数(2024年最新IOU)

摘要 边界框回归(BBR)是目标检测中的核心任务之一,BBR损失函数显著影响其性能。然而,观察到现有基于IoU的损失函数存在不合理的惩罚因子,导致回归过程中锚框扩展,并显著减缓收敛速度。为了解决这个问题,深入分析了锚框扩展的原因。针对这个问题,提出了一种新的Powerfu…