Vivado - BD(差分时钟、简单分频、RESET、KEY)

news2024/10/3 16:50:08

目录

1. 简介

1.1 要点

1.2 buffer 介绍

2. vivado 工程

2.1 Block Design

2.2 IBUFDS

2.3 BUFGCE_DIV

2.4 Processor System Reset

2.5 key_mod

2.6 led_drv

3. 编译与调试

3.1 XDC

3.2 Debug

4. 总结


1. 简介

1.1 要点

  • 了解 Utility Buffer v2.2 中的 Buffer,重点分析 IBUFDS 和 BUFGCE_DIV
  • 分析 Processor System Reset v5.0
  • 分析按键消抖模块(key_mod)
  • 了解与 ILA 相关自动生成的约束

1.2 buffer 介绍

  • IBUFDS:差分输入缓冲器,用于接收差分信号并将其转换为单端信号。
  • OBUFDS:差分输出缓冲器,用于将单端信号转换为差分信号输出。
  • IOBUFDS:差分输入/输出缓冲器,支持双向差分信号。
  • IBUFDSGTE:专用于 GTP/GTX 收发器的差分输入缓冲器。
  • BUFG:全局时钟缓冲器,用于将时钟信号分配到 FPGA 的全局时钟树中,减少时钟偏斜。
  • BUFGCE:带有时钟使能(Clock Enable)的全局时钟缓冲器,可以控制时钟信号的启用和禁用。
  • BUFGCE_DIV:带有时钟使能和分频功能的全局时钟缓冲器,可以对时钟信号进行分频。
  • BUFG_GT:专用于 GT 收发器的全局时钟缓冲器。
  • IOBUF:输入/输出缓冲器,支持双向信号。
  • OBUFDS_GTE:专用于 GTP/GTX 收发器的差分输出缓冲器。
  • OBUFDS_GTE_ADV:高级差分输出缓冲器,提供更高性能的差分信号输出。
  • BUFG_PS:专用于处理器系统的全局时钟缓冲器。

2. vivado 工程

2.1 Block Design

2.2 IBUFDS

差分输入缓冲器,用于接收差分信号并将其转换为单端信号。

在 Language Templates 中,可以找到 verilog 例化模板。

IBUFDS #(
.DIFF_TERM("FALSE"), // 差分端接
.IBUF_LOW_PWR("TRUE"), // 低功耗="TRUE",最高性能="FALSE"
.IOSTANDARD("DEFAULT") // 指定输入 I/O 标准
) IBUFDS_inst (
.O(O), // 缓冲器输出
.I(I), // Diff_p 缓冲器输入(直接连接到顶层端口)
.IB(IB) // Diff_n 缓冲器输入(直接连接到顶层端口)
);

2.3 BUFGCE_DIV

  • BUFGCE_DIV:能够进行简单分频的 BUFG,可进行1~8 分频
  • BUFG:全局时钟缓冲器,可以走专门的时钟资源,增强驱动能力,减少传播延迟
  • Fout = Fin / div,div = 1 to 8;

在 Language Templates 中,也可以找到 verilog 例化模板。

BUFGCE_DIV #(
.BUFGCE_DIVIDE(1), // 1-8
// 可编程反相属性:指定特定引脚上内置的可编程反相
.IS_CE_INVERTED(1'b0), // CE 的可选反相
.IS_CLR_INVERTED(1'b0), // CLR 的可选反相
.IS_I_INVERTED(1'b0), // I 的可选反相
.SIM_DEVICE("ULTRASCALE_PLUS") // ULTRASCALE, ULTRASCALE_PLUS
)
BUFGCE_DIV_inst (
.O(O), // 1位输出:缓冲器
.CE(CE), // 1位输入:缓冲器使能
.CLR(CLR), // 1位输入:异步清除
.I(I) // 1位输入:缓冲器
);

2.4 Processor System Reset

IP 用途

  • 同步异步复位信号:将异步外部复位(External Reset)和辅助复位(Auxiliary Reset)信号与时钟同步。
  • 配置复位信号:可以选择外部和辅助复位信号是低电平有效还是高电平有效,并设置复位信号的最小脉宽。
  • DCM 锁定输入:支持 DCM(数字时钟管理器)的锁定输入信号。
  • 生成上电复位信号:在系统上电时生成复位信号,确保系统在上电时处于已知状态。

外部/辅助复位有效宽度:复位信号有效电平需要持续指定的若干时钟周期才被视为有效信号,才会有复位输出。

复位信号的顺序输出:

  • 总线结构复位解除(互连和桥接)
  • 外设在 16 个时钟周期后复位解除(UART、SPI、IIC)
  • MicroBlaze 处理器在外设后 16 个时钟周期复位解除

Auxiliary Reset(辅助复位)信号通常用于提供额外的复位控制,除了主复位信号之外。它可以连接到系统中的其他复位源,例如调试器或其他控制逻辑。具体连接位置取决于你的设计需求和系统架构。

2.5 key_mod

按键消抖模块,用于消除由于机械或者接触不良造成的按键电信号的跳动(抖动)。

module key_mod (
    input   wire    i_sys_clk       ,
    input   wire    i_rst_n         ,
    input   wire    i_button        ,

    output  reg     o_pb_state      ,
    output  wire    o_pb_negedge    ,
    output  wire    o_pb_posedge
);

 ---------------- internal parameter ---------------
parameter       N               = 32    ; // debounce timer bitwidth
parameter       FREQ            = 200   ; // model clock :Mhz
parameter       MAX_TIME        = 20    ; // ms

 ---------------- internal localparam --------------
localparam      TIMER_MAX_VAL   =   MAX_TIME * 1000 * FREQ; // 20ms

//====================================================
// 按键下降沿检测:
//--              _   _   _   _   _   _   _   _   _
//-- CLK         | |_| |_| |_| |_| |_| |_| |_| |_| |
//--             ____
//-- button_r[1]     |______________________________
//--             ____                     __________
//-- PB_idle         |___________________|
//--                  ___________________
//-- add_PB_cnt  ____|                   |__________
//--                      ___ ___ ___ ___
//-- PB_cnt      ________/___X___X___X___\__________
//--                                  ___
//-- end_PB_cnt  ____________________|   |__________
//--             ________________________
//-- PB_state                            |__________
//--                                  ___
//-- PB_negedge  ____________________|   |__________
//====================================================

//====================================================
// 按键上升沿检测:
//--              _   _   _   _   _   _   _   _   _
//-- CLK         | |_| |_| |_| |_| |_| |_| |_| |_| |
//--                  ______________________________
//-- button_r[1] ____|
//--             ____                     __________
//-- PB_idle         |___________________|
//--                  ___________________
//-- add_PB_cnt  ____|                   |__________
//--                      ___ ___ ___ ___
//-- PB_cnt      ________/___X___X___X___\__________
//--                                  ___
//-- end_PB_cnt  ____________________|   |__________
//--                                      __________
//-- PB_state    ________________________|
//--                                  ___
//-- PB_posedge  ____________________|   |__________
//====================================================

//====================================================
// 消除亚稳态
//
//====================================================
reg     [1:0]   button_r;
always @(posedge i_sys_clk or negedge i_rst_n) begin
    if (!i_rst_n) begin
        button_r <= 2'b11;
    end
    else begin
        button_r <= {button_r[0], i_button};
    end
end

//====================================================
// 定义空闲状态 PB_idle,可以一直是高电平,
// 也可以一直是低电平
// 按键按下和松开,均开始计时
//====================================================
reg [N-1:0] PB_cnt;
wire        PB_idle = (o_pb_state==button_r[1]);
wire        add_PB_cnt;
wire        end_PB_cnt;

always @(posedge i_sys_clk or negedge i_rst_n) begin
    if (!i_rst_n) begin
        PB_cnt <= 16'b0;
    end
    else if (add_PB_cnt) begin
        if (end_PB_cnt)
            PB_cnt <= 16'd0;
        else
            PB_cnt <= PB_cnt + 16'd1;
    end
end

assign add_PB_cnt = ~PB_idle;
assign end_PB_cnt = add_PB_cnt && PB_cnt==TIMER_MAX_VAL-1;

always @(posedge i_sys_clk or negedge i_rst_n) begin
    if (!i_rst_n) begin
        o_pb_state <= 1'b1;
    end
    else if (end_PB_cnt) begin  //到达设定数值,认为按键稳定,改变PB_state状态
        o_pb_state <= ~o_pb_state;
    end
end

//====================================================
// 计时器到达设定值时,进行事件判断:
// PB_state常为高电平状态
// 下降沿:非空闲 && 计数完毕 && 当前状态为1
// 上升沿:非空闲 && 计数完毕 && 当前状态为0
// 注:PB_state会在end_PB_cnt脉冲后反转,故此处引用了
//     PB_state的当前状态
//====================================================
assign o_pb_negedge = ~PB_idle && end_PB_cnt && (o_pb_state==1'b1);
assign o_pb_posedge = ~PB_idle && end_PB_cnt && (o_pb_state==1'b0);

endmodule

2.6 led_drv

pulse 接口,每收到一个脉冲,led 翻转一次。

module led_drv (
    input           clk         ,
    input           rst_n       ,

    input           pulse       ,
    output reg      led
);

    always @(posedge clk or negedge rst_n)
    begin
        if (rst_n == 1'b0)
            led <= 1'b0;
        else if (pulse)
            led <= ~led;
        else
            led <= led;
    end

endmodule

3. 编译与调试

3.1 XDC

set_property PACKAGE_PIN K22        [get_ports {CLK_IN_D_0_clk_p[0]}]
set_property IOSTANDARD DIFF_SSTL12 [get_ports {CLK_IN_D_0_clk_p[0]}]

set_property PACKAGE_PIN H13     [get_ports ext_reset_in_0]
set_property IOSTANDARD LVCMOS33 [get_ports ext_reset_in_0]

set_property PACKAGE_PIN J13     [get_ports i_button_0]
set_property IOSTANDARD LVCMOS33 [get_ports i_button_0]

set_property PACKAGE_PIN H12     [get_ports led_0]
set_property IOSTANDARD LVCMOS33 [get_ports led_0]

set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
connect_debug_port dbg_hub/clk [get_nets clk]

重点解释下与 ILA 相关的约束,它们用于配置调试核心(debug core)和连接调试端口。

1)set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]

  • 这条命令设置调试核心的输入时钟频率为300 MHz(300,000,000 Hz)。这意味着调试核心将以300 MHz的频率运行。

2)set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]

  • 这条命令禁用时钟分频器。也就是说,调试核心将直接使用输入时钟频率,而不会进行分频。

3)set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]

  • 这条命令设置用户扫描链的数量为1。扫描链用于调试和测试目的,允许多个调试核心串联在一起。

4)connect_debug_port dbg_hub/clk [get_nets clk]:

  • 这条命令将调试核心的时钟端口(dbg_hub/clk)连接到设计中的时钟网络(clk)。这确保调试核心能够接收到正确的时钟信号。

3.2 Debug

抓取按键下降沿:

抓取按键上升沿:

4. 总结

本文档提供了在 Vivado 中对 FPGA 设计中常用的几个简单模块和功能的详细分析,包括输入输出缓冲器、全局时钟缓冲器、处理器系统复位以及按键消抖模块。

  • 了解 Utility Buffer v2.2 中的 Buffer,重点分析 IBUFDS 和 BUFGCE_DIV
  • 分析 Processor System Reset v5.0
  • 分析按键消抖模块(key_mod)
  • 了解与 ILA 相关自动生成的约束

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2186550.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

关于HTML 案例_个人简历展示01

案例效果展示 代码 <!DOCTYPE html> <lang"en"> <head><meta charset"UTF-8"><meta name"viewport" content"widthdevice-width, initial-scale1.0"><title>个人简历信息</title> </he…

C语言高阶【1】--动态内存管理【1】(可以灵活的申请和使用内存,它不香吗?)

本章概述 为什么要有动态内存分配&#xff1f;malloc函数和free函数calloc函数和realloc函数常见的动态内存的错误彩蛋时刻&#xff01;&#xff01;&#xff01; 为什么要有动态内存分配&#xff1f; 情况描述&#xff1a;当我们创建一个变量时&#xff0c;比如&#xff0c;i…

《中安未来护照阅读器 —— 机场高效通行的智慧之选》

在机场&#xff0c;高效与准确的旅客信息处理至关重要。中安未来护照阅读器&#xff0c;为机场带来全新的智能化体验。它能够快速准确地读取护照信息&#xff0c;自动识别多种证件类型&#xff0c;极大提高了值机、安检等环节的效率。无论是繁忙的国际航站楼&#xff0c;还是国…

51单片机的串口

目录 一、串口的介绍 1、硬件电路 二、51单片机的UART 1、串口参数及时序图 2、串口模式图 3、串口和中断系统结构图 4、串口相关寄存器 三、串口向电脑发送数据 1、通过STC-ISP软件 四、电脑通过串口控制LED 1、主函数 2、 UART串口通信模块 一、串口的介绍 串口是一…

倒排索引是什么

倒排索引 简单了解&#xff1a; 什么是正向索引? 基于文档id创建索引。查询词条时必须先找到文档&#xff0c;而后判断是否包含词条 什么是倒排索引? 对文档内容分词&#xff0c;对词条创建索引&#xff0c;并记录词条所在文档的信息。查询时先根据词条查询到文档id&#…

C++和OpenGL实现3D游戏编程【连载13】——多重纹理混合详解

🔥C++和OpenGL实现3D游戏编程【目录】 1、本节要实现的内容 前面说过纹理贴图能够大幅提升游戏画面质量,但纹理贴图是没有叠加的。在一些游戏场景中,要求将非常不同的多个纹理(如泥泞的褐色地面、绿草植密布的地面、碎石遍布的地面)叠加(混合)起来显示,实现纹理间能…

WPS(金山文档)与金蝶云星空通过HTTP实现连接

WPS(金山文档)通过HTTP与金蝶云星空实现数据互通 该方式不需要通过金蝶SDK webapi官方文档地址&#xff1a;https://vip.kingdee.com/article/407944297573586944?langzh-CN&productLineId1&isKnowledge2 一、两种方式 airscript脚本发送http请求和PY脚本编辑器发送…

SCoRe: 通过强化学习教导大语言模型进行自我纠错

大语言模型(LLMs)在推理任务中,如数学问题求解和编程,已经展现出了优秀的性能。尽管它们能力强大,但在实现能够通过计算和交互来改进其回答的算法方面仍然面临挑战。现有的自我纠错方法要么依赖于提示工程,要么需要使用额外的模型进行微调,但这些方法都有局限性,往往无法产生有…

Java项目实战II基于Java+Spring Boot+MySQL的海滨体育馆管理系统的设计与实现(源码+数据库+文档)

目录 一、前言 二、技术介绍 三、系统实现 四、文档参考 五、核心代码 六、源码获取 全栈码农以及毕业设计实战开发&#xff0c;CSDN平台Java领域新星创作者 一、前言 随着健康意识的提升和体育运动的普及&#xff0c;海滨体育馆作为集休闲、健身、娱乐于一体的综合性场…

MongoDB伪分布式部署(mac M2)

1. 序言 本博客是上一博客的进阶版&#xff1a;mac M2安装单机版 MongoDB 7.x&#xff0c;上一博客可以看做是单机、单节点部署MongoDB本博客将介绍单机、多服务部署MongoDB&#xff0c;实际就是伪分布式部署 2. 副本集(Replica Set)方式部署 2.1 什么是副本集&#xff1f; …

DNS与ICMP

一、DNS 在TCP/IP协议中&#xff0c;要用IP地址和端口号来连接服务器&#xff0c;但是直接输入数字用户体验感不好&#xff0c;所以就发明了主机号&#xff08;字符串&#xff09;&#xff0c;用host文件作主机名与IP的映射关系储存。 随着数量的增多&#xff0c;手动管理太麻…

【机器学习】集成学习——提升模型准确度的秘密武器

【机器学习】集成学习——提升模型准确度的秘密武器 1. 引言 集成学习&#xff08;Ensemble Learning&#xff09;是一种通过结合多个弱模型来提升整体预测准确性的技术。通过将多个模型的预测结果进行组合&#xff0c;集成学习在复杂任务中展现了极强的泛化能力。本文将探讨…

基于微信的乐室预约小程序+ssm(lw+演示+源码+运行)

摘 要 随着社会的发展&#xff0c;社会的方方面面都在利用信息化时代的优势。互联网的优势和普及使得各种系统的开发成为必需。 本文以实际运用为开发背景&#xff0c;运用软件工程原理和开发方法&#xff0c;它主要是采用java语言技术和mysql数据库来完成对系统的设计。整个…

深入探讨指令调优的局限性

深入探讨指令调优的局限性 摘要 指令调优&#xff08;Instruction Tuning, IT&#xff09;是通过使用指令-响应对训练大型语言模型&#xff08;LLMs&#xff09;的过程&#xff0c;已成为将基础预训练LLMs转化为开放领域对话代理的主要方法。尽管IT取得了显著的成功和广泛的应…

HUAWEI New4.9G 与 2.6G 无法正常切换问题处理案例

HUAWEI New4.9G 与 2.6G 无法正常切换问题处理案例 在某地市的 XX 音乐节保障准备期间&#xff0c;为确保活动期间的网络质量&#xff0c;现场新开了 4.9G HUAWEI 室外基站。在网络优化和测试中&#xff0c;发现UE无法实现从 2.6G 到 4.9G 的正常切换。虽然现场具备 4.9G信号覆…

算法与数据结构--二分查找

原理 简单说就是每次二分取中间值&#xff0c;然后将中间值与我们要找的值比较&#xff0c;如果比它大就移动左边界到其右侧&#xff0c;如果比它小就移动右边界到其左侧。直到中间值等于该值或者经过移动直至右边界在左边界左侧为止。 二.具体实现 public int searchInsert(…

数据结构 ——— 单链表oj题:反转链表

目录 题目要求 手搓一个简易链表 代码实现 题目要求 给你单链表的头节点 head &#xff0c;请你反转链表&#xff0c;并返回反转后的链表 手搓一个简易链表 代码演示&#xff1a; struct ListNode* n1 (struct ListNode*)malloc(sizeof(struct ListNode)); assert(n1);…

【重学 MySQL】五十三、MySQL数据类型概述和字符集设置

【重学 MySQL】五十三、MySQL数据类型概述和字符集设置 MySQL数据类型概述MySQL字符集设置注意事项 MySQL数据类型概述 MySQL是一个流行的关系型数据库管理系统&#xff0c;它支持多种数据类型&#xff0c;以满足不同数据处理和存储的需求。理解并正确使用这些数据类型对于提高…

已解决:org.springframework.web.HttpMediaTypeNotAcceptableException

文章目录 写在前面问题描述报错原因分析&#xff1a; 解决思路解决办法1. 确保客户端请求的 Accept 头正确2. 修改 Controller 方法的 produces 参数3. 配置合适的消息转换器4. 检查 Spring 配置中的媒体类型5. 其他解决方案 总结 写在前面 在开发过程中&#xff0c;Spring 框…

实验1 集成开发环境的使用及程序设计入门

1、求两点之间的距离 【问题描述】从键盘输入平面上已知两点的坐标A(x1,y1)、B(x2,y2)&#xff0c;计算两点之间的距离。结果保留4位小数。 【输入形式】两个点的坐标 【输出形式】两点之间的距离 【样例输入】 0 0 3 4 【样例输出】 5.0000 #define _CRT_SECURE_NO_WARNI…