前言
在早期计算机和嵌入式系统中,图形显示和用户界面的实现主要依赖于硬件技术。VGA(视频图形阵列)标准在1980年代中期成为主流图形显示技术,其高分辨率和良好的兼容性使其在计算机显示领域中占据了重要地位。VGA标准支持640x480的分辨率,能够显示256种颜色,成为了计算机显示的基础之一。与此同时,ROM(只读存储器)作为一种重要的存储器件,广泛应用于存储固定程序和数据。在计算机系统中,ROM被用于存储固化的系统BIOS、启动程序以及一些特定的数据表。由于ROM具有非易失性,其存储的数据在断电后仍能保留,这使得它非常适合用于存储不需要频繁更改的数据。基于ROM的VGA显示技术结合了这两种技术的优势,通过将显示控制程序或图形数据存储在ROM中,系统能够快速、稳定地驱动VGA显示器。这种方法尤其适用于嵌入式系统、工业控制和教育领域,其中对系统稳定性和显示效果有较高的要求。在这种系统中,ROM不仅用于存储显示控制程序,还可以存储预定义的图形数据。这些数据可以是静态的图标、字符集或图像,通过VGA接口传输到显示器上,呈现给用户。这种方式减少了对实时图形生成的依赖,提高了系统的响应速度和稳定性。
正文
一、基于ROM的VGA显示的设计验证
1.项目需求
设计基于ROM的VGA显示方案,对一100*100的彩色图片进行显示。
2.技术介绍
首先需要将目标图片数据转化为基于RGB的颜色数据,这里使用一张像素为100*100的图片作为演示,100*100,使用RGB332编码方式数据宽度为8bit,数据深度10000;
使用matlab进行数据提取
DEPIH = 10000;
WIDIH = 8;
ADDRESS RADIX = HEX;
DATA RADIX = HEX;
CONTENT
BEGIN
0 :bb ;
1 :bb ;
2 :bb ;
3 :bb ;
4 :bb ;
5 :bb ;
6 :bb ;
7 :bb ;
8 :bb ;
9 :bb ;
10 :bb ;
11 :bb ;
12 :bb ;
13 :bb ;
14 :bb ;
15 :bb ;
16 :df ;
17 :ff ;
18 :ff ;
19 :db ;
20 :bb ;
21 :db ;
22 :ff ;
23 :ff ;
24 :ff ;
25 :ff ;
26 :d7 ;
27 :db ;
28 :db ;
29 :b6 ;
30 :db ;
31 :bb ;
32 :db ;
33 :ff ;
34 :ff ;
35 :ff ;
36 :ff ;
37 :ff ;
38 :ff ;
39 :b2 ;
40 :6e ;
41 :b6 ;
42 :db ;
43 :db ;
44 :b6 ;
45 :92 ;
46 :96 ;
47 :b6 ;
48 :da ;
49 :ff ;
50 :ff ;
51 :ff ;
52 :ff ;
53 :ff ;
54 :ff ;
55 :ff ;
56 :ff ;
57 :ff ;
58 :ff ;
59 :ff ;
60 :ff ;
61 :ff ;
62 :ff ;
63 :ff ;
64 :ff ;
65 :ff ;
66 :ff ;
67 :ff ;
68 :ff ;
69 :ff ;
70 :ff ;
71 :ff ;
72 :ff ;
73 :ff ;
74 :ff ;
75 :ff ;
76 :da ;
77 :da ;
78 :d6 ;
79 :96 ;
80 :97 ;
81 :4e ;
82 :72 ;
83 :6e ;
84 :49 ;
85 :29 ;
86 :29 ;
87 :29 ;
88 :29 ;
89 :29 ;
90 :29 ;
91 :29 ;
92 :29 ;
93 :29 ;
94 :29 ;
95 :29 ;
96 :29 ;
97 :29 ;
98 :29 ;
99 :29 ;
100 :bb ;
101 :bb ;
102 :bb ;
103 :bb ;
104 :bb ;
105 :bb ;
106 :bb ;
107 :bb ;
108 :bb ;
109 :bb ;
110 :bb ;
111 :bb ;
112 :bb ;
113 :bb ;
114 :bb ;
115 :bb ;
116 :bb ;
117 :bb ;
118 :bb ;
119 :bb ;
120 :bb ;
121 :bb ;
122 :df ;
123 :ff ;
124 :db ;
125 :ff ;
126 :ff ;
127 :ff ;
128 :ff ;
129 :ff ;
130 :db ;
131 :92 ;
132 :b7 ;
133 :ff ;
134 :ff ;
135 :ff ;
136 :ff ;
137 :ff ;
138 :db ;
139 :92 ;
140 :ff ;
141 :ff ;
142 :ff ;
143 :ff ;
144 :ff ;
145 :d6 ;
146 :da ;
147 :fb ;
148 :ff ;
149 :ff ;
150 :ff ;
151 :ff ;
152 :ff ;
153 :ff ;
154 :ff ;
155 :ff ;
156 :ff ;
157 :ff ;
158 :ff ;
159 :ff ;
160 :ff ;
161 :ff ;
162 :ff ;
163 :ff ;
164 :ff ;
165 :ff ;
166 :ff ;
167 :ff ;
168 :ff ;
169 :ff ;
170 :ff ;
171 :ff ;
172 :b6 ;
173 :b6 ;
174 :b6 ;
175 :b6 ;
176 :b6 ;
177 :b6 ;
178 :92 ;
179 :da ;
180 :b7 ;
181 :b7 ;
182 :bb ;
183 :97 ;
184 :4d ;
185 :29 ;
186 :49 ;
187 :29 ;
188 :29 ;
189 :29 ;
190 :29 ;
191 :29 ;
192 :29 ;
193 :29 ;
194 :29 ;
195 :29 ;
196 :29 ;
197 :29 ;
198 :29 ;
199 :29 ;
200 :bb ;
201 :bb ;
202 :bb ;
203 :bb ;
204 :bb ;
205 :bb ;
206 :bb ;
207 :bb ;
208 :bb ;
209 :bb ;
210 :bb ;
211 :bb ;
212 :bb ;
213 :bb ;
214 :bb ;
215 :bb ;
216 :bb ;
217 :bb ;
218 :bb ;
219 :bb ;
220 :bb ;
221 :bb ;
222 :ff ;
223 :b6 ;
224 :ff ;
225 :ff ;
226 :ff ;
227 :ff ;
228 :ff ;
229 :fb ;
230 :db ;
231 :fb ;
232 :ff ;
233 :db ;
234 :ff ;
235 :ff ;
236 :ff ;
237 :ff ;
238 :fb ;
239 :ff ;
240 :ff ;
241 :ff ;
242 :ff ;
243 :ff ;
244 :ff ;
245 :b6 ;
246 :da ;
247 :fb ;
248 :fb ;
249 :ff ;
250 :ff ;
251 :da ;
252 :d6 ;
253 :da ;
254 :fb ;
255 :da ;
256 :d6 ;
257 :d6 ;
258 :d6 ;
259 :d6 ;
260 :b6 ;
261 :d6 ;
262 :db ;
263 :d6 ;
264 :d6 ;
265 :fb ;
266 :ff ;
267 :ff ;
268 :ff ;
269 :ff ;
270 :ff ;
271 :ff ;
272 :d6 ;
273 :b6 ;
274 :bb ;
275 :97 ;
276 :bb ;
277 :bb ;
278 :bb ;
279 :b7 ;
280 :92 ;
281 :bb ;
282 :bb ;
283 :bb ;
284 :b7 ;
285 :bb ;
286 :b7 ;
287 :92 ;
288 :49 ;
289 :29 ;
290 :29 ;
291 :29 ;
292 :29 ;
293 :29 ;
294 :29 ;
295 :29 ;
296 :29 ;
297 :29 ;
298 :29 ;
299 :29 ;
300 :bb ;
301 :bb ;
302 :bb ;
303 :bb ;
304 :bb ;
305 :bb ;
306 :bb ;
307 :bb ;
308 :bb ;
309 :bb ;
310 :bb ;
311 :bb ;
312 :bb ;
313 :bb ;
314 :bb ;
315 :bb ;
316 :bb ;
317 :bb ;
318 :bb ;
319 :bb ;
320 :bb ;
321 :bb ;
322 :df ;
323 :b6 ;
324 :ff ;
325 :ff ;
326 :ff ;
327 :ff ;
328 :fb ;
329 :b6 ;
330 :ff ;
331 :ff ;
332 :ff ;
333 :db ;
334 :ff ;
335 :ff ;
336 :ff ;
337 :ff ;
338 :ff ;
339 :ff ;
340 :ff ;
341 :ff ;
342 :ff ;
343 :ff ;
344 :db ;
345 :da ;
346 :da ;
347 :b2 ;
348 :b2 ;
349 :b6 ;
350 :d6 ;
351 :db ;
352 :ff ;
353 :ff ;
354 :ff ;
355 :ff ;
356 :ff ;
357 :ff ;
358 :ff ;
359 :ff ;
360 :ff ;
361 :ff ;
362 :ff ;
363 :ff ;
364 :ff ;
365 :da ;
366 :b6 ;
367 :b6 ;
368 :b6 ;
369 :fb ;
370 :ff ;
371 :ff ;
372 :ff ;
373 :ff ;
374 :ff ;
375 :ff ;
376 :b6 ;
377 :97 ;
378 :bb ;
379 :bb ;
380 :96 ;
381 :bb ;
382 :bb ;
383 :96 ;
384 :49 ;
385 :72 ;
386 :6e ;
387 :92 ;
388 :49 ;
389 :29 ;
390 :29 ;
391 :29 ;
392 :29 ;
393 :29 ;
394 :29 ;
395 :29 ;
396 :29 ;
397 :29 ;
398 :29 ;
399 :29 ;
400 :bb ;
401 :bb ;
402 :bb ;
403 :bb ;
404 :bb ;
405 :bb ;
406 :bb ;
407 :bb ;
408 :bb ;
409 :bb ;
410 :bb ;
411 :bb ;
412 :bb ;
413 :bb ;
414 :bb ;
415 :bb ;
416 :bb ;
417 :bb ;
418 :bb ;
419 :bb ;
420 :bb ;
421 :bb ;
422 :bb ;
423 :ff ;
424 :b6 ;
425 :ff ;
426 :ff ;
427 :ff ;
428 :d6 ;
429 :ff ;
430 :ff ;
431 :ff ;
432 :fb ;
433 :da ;
434 :b2 ;
435 :d6 ;
436 :ff ;
437 :db ;
438 :ff ;
439 :ff ;
440 :ff ;
441 :ff ;
442 :ff ;
443 :da ;
444 :6d ;
445 :b2 ;
446 :b2 ;
447 :fb ;
448 :ff ;
449 :ff ;
450 :ff ;
451 :ff ;
452 :ff ;
453 :ff ;
454 :ff ;
455 :ff ;
456 :ff ;
457 :ff ;
458 :ff ;
459 :ff ;
460 :ff ;
461 :ff ;
462 :ff ;
463 :ff ;
464 :ff ;
465 :ff ;
466 :ff ;
467 :ff ;
468 :ff ;
469 :db ;
470 :b6 ;
471 :b6 ;
472 :ff ;
473 :ff ;
474 :ff ;
475 :ff ;
476 :ff ;
477 :ff ;
478 :b6 ;
479 :b7 ;
480 :bb ;
481 :b7 ;
482 :96 ;
483 :6d ;
484 :49 ;
485 :49 ;
486 :49 ;
487 :29 ;
488 :29 ;
489 :29 ;
490 :29 ;
491 :29 ;
492 :29 ;
493 :29 ;
494 :29 ;
495 :29 ;
496 :29 ;
497 :29 ;
498 :29 ;
499 :29 ;
500 :bb ;
501 :bb ;
502 :bb ;
503 :bb ;
504 :bb ;
505 :bb ;
506 :bb ;
507 :bb ;
508 :bb ;
509 :bb ;
510 :bb ;
511 :bb ;
512 :bb ;
513 :bb ;
514 :bb ;
515 :bb ;
516 :bb ;
517 :bb ;
518 :bb ;
519 :bb ;
520 :bb ;
521 :bb ;
522 :bb ;
523 :ff ;
524 :db ;
525 :db ;
526 :ff ;
527 :fb ;
528 :db ;
529 :da ;
530 :ff ;
531 :ff ;
532 :d6 ;
533 :d5 ;
534 :b1 ;
535 :d2 ;
536 :d6 ;
537 :ff ;
538 :ff ;
539 :ff ;
540 :ff ;
541 :fb ;
542 :6d ;
543 :b6 ;
544 :fa ;
545 :fa ;
546 :fb ;
547 :ff ;
548 :ff ;
549 :ff ;
550 :ff ;
551 :ff ;
552 :ff ;
553 :ff ;
554 :ff ;
555 :ff ;
556 :ff ;
557 :ff ;
558 :ff ;
559 :ff ;
560 :ff ;
561 :ff ;
562 :ff ;
563 :ff ;
564 :ff ;
565 :ff ;
566 :ff ;
567 :ff ;
568 :ff ;
569 :ff ;
570 :ff ;
571 :ff ;
572 :da ;
573 :b6 ;
574 :fb ;
575 :ff ;
576 :ff ;
577 :da ;
578 :db ;
579 :b2 ;
580 :92 ;
581 :4d ;
582 :49 ;
583 :49 ;
584 :29 ;
585 :29 ;
586 :4d ;
587 :49 ;
588 :29 ;
589 :29 ;
590 :29 ;
591 :29 ;
592 :29 ;
593 :29 ;
594 :29 ;
595 :29 ;
596 :29 ;
597 :29 ;
598 :29 ;
599 :29 ;
600 :bb ;
601 :bb ;
602 :bb ;
603 :bb ;
604 :bb ;
605 :bb ;
606 :bb ;
607 :bb ;
608 :bb ;
609 :bb ;
610 :bb ;
611 :bb ;
612 :bb ;
613 :bb ;
614 :bb ;
615 :bb ;
616 :bb ;
617 :bb ;
618 :bb ;
619 :bb ;
620 :b7 ;
621 :b6 ;
622 :b7 ;
623 :b6 ;
624 :92 ;
625 :b6 ;
626 :b6 ;
627 :b6 ;
628 :d6 ;
629 :d6 ;
630 :fb ;
631 :da ;
632 :d6 ;
633 :d6 ;
634 :d5 ;
635 :f6 ;
636 :b2 ;
637 :fb ;
638 :fb ;
639 :db ;
640 :b2 ;
641 :6d ;
642 :71 ;
643 :71 ;
644 :91 ;
645 :fb ;
646 :ff ;
647 :ff ;
648 :ff ;
649 :ff ;
650 :ff ;
651 :ff ;
652 :ff ;
653 :ff ;
654 :ff ;
655 :ff ;
656 :ff ;
657 :ff ;
658 :ff ;
659 :ff ;
660 :ff ;
661 :ff ;
662 :ff ;
663 :ff ;
664 :ff ;
665 :ff ;
666 :ff ;
667 :ff ;
668 :ff ;
669 :ff ;
670 :ff ;
671 :ff ;
672 :ff ;
673 :ff ;
674 :d6 ;
675 :b6 ;
676 :ff ;
677 :ff ;
678 :ff ;
679 :ff ;
680 :ff ;
681 :b6 ;
682 :92 ;
683 :49 ;
684 :49 ;
685 :49 ;
686 :49 ;
687 :29 ;
688 :29 ;
689 :29 ;
690 :29 ;
691 :29 ;
692 :29 ;
693 :29 ;
694 :29 ;
695 :29 ;
696 :29 ;
697 :29 ;
698 :29 ;
699 :29 ;
700 :bb ;
701 :bb ;
702 :bb ;
703 :bb ;
704 :bb ;
705 :bb ;
706 :bb ;
707 :bb ;
708 :bb ;
709 :bb ;
710 :bb ;
711 :bb ;
712 :bb ;
713 :bb ;
714 :bb ;
715 :bb ;
716 :bb ;
717 :bb ;
718 :bb ;
719 :bb ;
720 :ff ;
721 :b7 ;
722 :b7 ;
723 :db ;
724 :ff ;
725 :ff ;
726 :ff ;
727 :db ;
728 :d6 ;
729 :d5 ;
730 :fb ;
731 :d6 ;
732 :f6 ;
733 :d6 ;
734 :d6 ;
735 :d6 ;
736 :ff ;
737 :ff ;
738 :b6 ;
739 :6d ;
740 :71 ;
741 :71 ;
742 :92 ;
743 :96 ;
744 :96 ;
745 :91 ;
746 :fb ;
747 :ff ;
748 :ff ;
749 :ff ;
750 :ff ;
751 :ff ;
752 :ff ;
753 :ff ;
754 :ff ;
755 :ff ;
756 :ff ;
757 :ff ;
758 :ff ;
759 :ff ;
760 :ff ;
761 :ff ;
762 :ff ;
763 :ff ;
764 :ff ;
765 :ff ;
766 :ff ;
767 :ff ;
768 :ff ;
769 :ff ;
770 :ff ;
771 :ff ;
772 :ff ;
773 :ff ;
774 :ff ;
775 :fb ;
776 :b6 ;
777 :fb ;
778 :ff ;
779 :ff ;
780 :ff ;
781 :ff ;
782 :ff ;
783 :ff ;
784 :92 ;
785 :6d ;
786 :29 ;
787 :29 ;
788 :29 ;
789 :29 ;
790 :29 ;
791 :29 ;
792 :29 ;
793 :29 ;
794 :29 ;
795 :29 ;
796 :29 ;
797 :29 ;
798 :29 ;
799 :29 ;
800 :bb ;
801 :bb ;
802 :bb ;
803 :bb ;
804 :bb ;
805 :bb ;
806 :bb ;
807 :bb ;
808 :bb ;
809 :bb ;
810 :bb ;
811 :bb ;
812 :bb ;
813 :bb ;
814 :bb ;
815 :bb ;
816 :bb ;
817 :b7 ;
818 :b6 ;
819 :b2 ;
820 :b2 ;
821 :db ;
822 :ff ;
823 :ff ;
824 :ff ;
825 :ff ;
826 :ff ;
827 :d2 ;
828 :b1 ;
829 :b1 ;
830 :da ;
831 :da ;
832 :b6 ;
833 :d6 ;
834 :d6 ;
835 :ff ;
836 :ff ;
837 :ff ;
838 :b6 ;
839 :6d ;
840 :71 ;
841 :92 ;
842 :96 ;
843 :96 ;
844 :96 ;
845 :96 ;
846 :91 ;
847 :ff ;
848 :ff ;
849 :ff ;
850 :ff ;
851 :ff ;
852 :ff ;
853 :ff ;
854 :ff ;
855 :ff ;
856 :ff ;
857 :ff ;
858 :ff ;
859 :ff ;
860 :ff ;
861 :ff ;
862 :ff ;
863 :ff ;
864 :ff ;
865 :ff ;
866 :ff ;
867 :ff ;
868 :ff ;
869 :ff ;
870 :ff ;
871 :ff ;
872 :ff ;
873 :ff ;
874 :db ;
875 :ff ;
876 :ff ;
877 :b6 ;
878 :fb ;
879 :ff ;
880 :ff ;
881 :ff ;
882 :ff ;
883 :ff ;
884 :ff ;
885 :ff ;
886 :b6 ;
887 :49 ;
888 :29 ;
889 :29 ;
890 :29 ;
891 :29 ;
892 :29 ;
893 :29 ;
894 :29 ;
895 :29 ;
896 :29 ;
897 :29 ;
898 :29 ;
899 :29 ;
900 :bb ;
901 :bb ;
902 :bb ;
903 :bb ;
904 :bb ;
905 :bb ;
906 :bb ;
907 :bb ;
908 :bb ;
909 :bb ;
910 :bb ;
911 :bb ;
912 :bb ;
913 :bb ;
914 :bb ;
915 :bb ;
916 :bb ;
917 :fb ;
918 :d6 ;
919 :d6 ;
920 :db ;
921 :ff ;
922 :ff ;
923 :db ;
924 :ff ;
925 :ff ;
926 :da ;
927 :b2 ;
928 :fb ;
929 :ff ;
930 :ff ;
931 :ff ;
932 :ff ;
933 :ff ;
934 :ff ;
935 :ff ;
936 :ff ;
937 :ff ;
938 :91 ;
939 :6d ;
940 :71 ;
941 :92 ;
942 :91 ;
943 :92 ;
944 :96 ;
945 :96 ;
946 :96 ;
947 :b6 ;
948 :fb ;
949 :ff ;
950 :ff ;
951 :ff ;
952 :ff ;
953 :ff ;
954 :ff ;
955 :ff ;
956 :ff ;
957 :ff ;
958 :ff ;
959 :ff ;
960 :ff ;
961 :ff ;
962 :ff ;
963 :ff ;
964 :ff ;
965 :ff ;
966 :ff ;
967 :ff ;
968 :ff ;
969 :ff ;
970 :ff ;
971 :ff ;
972 :ff ;
973 :ff ;
974 :ff ;
975 :db ;
976 :fb ;
977 :ff ;
978 :b2 ;
979 :ff ;
980 :ff ;
981 :ff ;
982 :ff ;
983 :ff ;
984 :ff ;
985 :ff ;
986 :ff ;
987 :ff ;
988 :6d ;
989 :29 ;
990 :29 ;
991 :29 ;
992 :29 ;
993 :29 ;
994 :29 ;
995 :29 ;
996 :29 ;
997 :29 ;
998 :29 ;
999 :29 ;
1000 :bb ;
1001 :bb ;
1002 :bb ;
1003 :bb ;
1004 :bb ;
1005 :bb ;
1006 :bb ;
1007 :bb ;
1008 :bb ;
1009 :bb ;
1010 :bb ;
1011 :bb ;
1012 :bb ;
1013 :bb ;
1014 :bb ;
1015 :bb ;
1016 :ff ;
1017 :fb ;
1018 :b6 ;
1019 :da ;
1020 :ff ;
1021 :ff ;
1022 :ff ;
1023 :ff ;
1024 :ff ;
1025 :db ;
1026 :db ;
1027 :ff ;
1028 :ff ;
1029 :ff ;
1030 :ff ;
1031 :ff ;
1032 :ff ;
1033 :ff ;
1034 :fb ;
1035 :ff ;
1036 :ff ;
1037 :92 ;
1038 :6d ;
1039 :71 ;
1040 :92 ;
1041 :96 ;
1042 :96 ;
1043 :96 ;
1044 :96 ;
1045 :96 ;
1046 :96 ;
1047 :b2 ;
1048 :fb ;
1049 :fb ;
1050 :ff ;
1051 :ff ;
1052 :ff ;
1053 :ff ;
1054 :ff ;
1055 :ff ;
1056 :ff ;
1057 :ff ;
1058 :ff ;
1059 :ff ;
1060 :ff ;
1061 :ff ;
1062 :ff ;
1063 :ff ;
1064 :ff ;
1065 :ff ;
1066 :ff ;
1067 :ff ;
1068 :ff ;
1069 :ff ;
1070 :ff ;
1071 :ff ;
1072 :ff ;
1073 :ff ;
1074 :ff ;
1075 :ff ;
1076 :ff ;
1077 :92 ;
1078 :da ;
1079 :b6 ;
1080 :ff ;
1081 :ff ;
1082 :ff ;
1083 :ff ;
1084 :ff ;
1085 :ff ;
1086 :ff ;
1087 :ff ;
1088 :ff ;
1089 :b6 ;
1090 :29 ;
1091 :29 ;
1092 :29 ;
1093 :29 ;
1094 :29 ;
1095 :29 ;
1096 :29 ;
1097 :29 ;
1098 :29 ;
1099 :29 ;
1100 :bb ;
1101 :bb ;
1102 :bb ;
1103 :bb ;
1104 :bb ;
1105 :bb ;
1106 :bb ;
1107 :bb ;
1108 :bb ;
1109 :bb ;
1110 :bb ;
1111 :bb ;
1112 :bb ;
1113 :bb ;
1114 :bb ;
1115 :b7 ;
1116 :db ;
1117 :d6 ;
1118 :d6 ;
1119 :fb ;
1120 :da ;
1121 :da ;
1122 :b6 ;
1123 :b6 ;
1124 :d6 ;
1125 :fb ;
1126 :ff ;
1127 :ff ;
1128 :ff ;
1129 :ff ;
1130 :ff ;
1131 :ff ;
1132 :ff ;
1133 :92 ;
1134 :b6 ;
1135 :b6 ;
1136 :6d ;
1137 :6d ;
1138 :71 ;
1139 :71 ;
1140 :92 ;
1141 :96 ;
1142 :96 ;
1143 :96 ;
1144 :96 ;
1145 :96 ;
1146 :96 ;
1147 :96 ;
1148 :fb ;
1149 :fb ;
1150 :ff ;
1151 :ff ;
1152 :ff ;
1153 :ff ;
1154 :ff ;
1155 :ff ;
1156 :ff ;
1157 :ff ;
1158 :ff ;
1159 :ff ;
1160 :ff ;
1161 :ff ;
1162 :ff ;
1163 :ff ;
1164 :ff ;
1165 :ff ;
1166 :ff ;
1167 :ff ;
1168 :ff ;
1169 :ff ;
1170 :ff ;
1171 :ff ;
1172 :ff ;
1173 :ff ;
1174 :ff ;
1175 :ff ;
1176 :ff ;
1177 :ff ;
1178 :b2 ;
1179 :d6 ;
1180 :fb ;
1181 :ff ;
1182 :ff ;
1183 :ff ;
1184 :ff ;
1185 :ff ;
1186 :ff ;
1187 :fb ;
1188 :92 ;
1189 :b6 ;
1190 :b6 ;
1191 :29 ;
1192 :29 ;
1193 :29 ;
1194 :29 ;
1195 :29 ;
1196 :29 ;
1197 :29 ;
1198 :29 ;
1199 :29 ;
1200 :bb ;
1201 :bb ;
1202 :bb ;
1203 :bb ;
1204 :bb ;
1205 :bb ;
1206 :bb ;
1207 :bb ;
1208 :bb ;
1209 :bb ;
1210 :bb ;
1211 :bb ;
1212 :bb ;
1213 :bb ;
1214 :b7 ;
1215 :bb ;
1216 :ff ;
1217 :fb ;
1218 :db ;
1219 :d6 ;
1220 :fb ;
1221 :ff ;
1222 :ff ;
1223 :fb ;
1224 :b6 ;
1225 :ff ;
1226 :ff ;
1227 :ff ;
1228 :ff ;
1229 :ff ;
1230 :ff ;
1231 :ff ;
1232 :b6 ;
1233 :6d ;
1234 :92 ;
1235 :96 ;
1236 :96 ;
1237 :6d ;
1238 :6d ;
1239 :71 ;
1240 :92 ;
1241 :96 ;
1242 :96 ;
1243 :96 ;
1244 :96 ;
1245 :96 ;
1246 :96 ;
1247 :96 ;
1248 :b6 ;
1249 :ff ;
1250 :ff ;
1251 :ff ;
1252 :ff ;
1253 :ff ;
1254 :ff ;
1255 :ff ;
1256 :ff ;
1257 :ff ;
1258 :ff ;
1259 :ff ;
1260 :ff ;
1261 :ff ;
1262 :ff ;
1263 :ff ;
1264 :ff ;
1265 :ff ;
1266 :ff ;
1267 :ff ;
1268 :ff ;
1269 :ff ;
1270 :ff ;
1271 :ff ;
1272 :ff ;
1273 :ff ;
1274 :ff ;
1275 :ff ;
1276 :ff ;
1277 :ff ;
1278 :ff ;
1279 :b2 ;
1280 :d6 ;
1281 :ff ;
1282 :ff ;
1283 :ff ;
1284 :ff ;
1285 :ff ;
1286 :ff ;
1287 :ff ;
1288 :ff ;
1289 :6e ;
1290 :4d ;
1291 :6d ;
1292 :29 ;
1293 :29 ;
1294 :29 ;
1295 :29 ;
1296 :29 ;
1297 :29 ;
1298 :29 ;
1299 :29 ;
1300 :bb ;
1301 :bb ;
1302 :bb ;
1303 :bb ;
1304 :bb ;
1305 :bb ;
1306 :bb ;
1307 :bb ;
1308 :bb ;
1309 :bb ;
1310 :bb ;
1311 :bb ;
1312 :bb ;
1313 :b7 ;
1314 :db ;
1315 :ff ;
1316 :ff ;
1317 :b6 ;
1318 :d6 ;
1319 :ff ;
1320 :ff ;
1321 :ff ;
1322 :ff ;
1323 :da ;
1324 :ff ;
1325 :ff ;
1326 :ff ;
1327 :ff ;
1328 :ff ;
1329 :ff ;
1330 :db ;
1331 :92 ;
1332 :91 ;
1333 :71 ;
1334 :96 ;
1335 :96 ;
1336 :96 ;
1337 :96 ;
1338 :6d ;
1339 :91 ;
1340 :91 ;
1341 :92 ;
1342 :96 ;
1343 :b6 ;
1344 :da ;
1345 :db ;
1346 :d6 ;
1347 :b6 ;
1348 :92 ;
1349 :da ;
1350 :ff ;
1351 :ff ;
1352 :ff ;
1353 :ff ;
1354 :ff ;
1355 :ff ;
1356 :ff ;
1357 :ff ;
1358 :ff ;
1359 :ff ;
1360 :ff ;
1361 :ff ;
1362 :ff ;
1363 :ff ;
1364 :ff ;
1365 :ff ;
1366 :ff ;
1367 :ff ;
1368 :ff ;
1369 :ff ;
1370 :ff ;
1371 :ff ;
1372 :ff ;
1373 :ff ;
1374 :ff ;
1375 :ff ;
1376 :ff ;
1377 :ff ;
1378 :ff ;
1379 :ff ;
1380 :b2 ;
1381 :fb ;
1382 :ff ;
1383 :ff ;
1384 :ff ;
1385 :ff ;
1386 :ff ;
1387 :ff ;
1388 :ff ;
1389 :ff ;
1390 :6d ;
1391 :49 ;
1392 :29 ;
1393 :29 ;
1394 :29 ;
1395 :29 ;
1396 :29 ;
1397 :29 ;
1398 :29 ;
1399 :29 ;
1400 :bb ;
1401 :bb ;
1402 :bb ;
1403 :bb ;
1404 :bb ;
1405 :bb ;
1406 :bb ;
1407 :bb ;
1408 :bb ;
1409 :bb ;
1410 :bb ;
1411 :bb ;
1412 :bb ;
1413 :b6 ;
1414 :fb ;
1415 :db ;
1416 :b6 ;
1417 :ff ;
1418 :ff ;
1419 :ff ;
1420 :ff ;
1421 :ff ;
1422 :ff ;
1423 :fb ;
1424 :ff ;
1425 :ff ;
1426 :ff ;
1427 :ff ;
1428 :d6 ;
1429 :b2 ;
1430 :92 ;
1431 :91 ;
1432 :92 ;
1433 :92 ;
1434 :96 ;
1435 :96 ;
1436 :96 ;
1437 :96 ;
1438 :91 ;
1439 :db ;
1440 :ff ;
1441 :ff ;
1442 :ff ;
1443 :ff ;
1444 :ff ;
1445 :ff ;
1446 :ff ;
1447 :ff ;
1448 :ff ;
1449 :fb ;
1450 :ff ;
1451 :ff ;
1452 :ff ;
1453 :ff ;
1454 :ff ;
1455 :ff ;
1456 :ff ;
1457 :ff ;
1458 :ff ;
1459 :ff ;
1460 :ff ;
1461 :ff ;
1462 :ff ;
1463 :ff ;
1464 :ff ;
1465 :ff ;
1466 :ff ;
1467 :ff ;
1468 :ff ;
1469 :ff ;
1470 :ff ;
1471 :ff ;
1472 :ff ;
1473 :ff ;
1474 :ff ;
1475 :ff ;
1476 :ff ;
1477 :ff ;
1478 :ff ;
1479 :ff ;
1480 :d6 ;
1481 :d6 ;
1482 :ff ;
1483 :ff ;
1484 :ff ;
1485 :ff ;
1486 :ff ;
1487 :ff ;
1488 :ff ;
1489 :ff ;
1490 :ff ;
1491 :49 ;
1492 :49 ;
1493 :29 ;
1494 :29 ;
1495 :29 ;
1496 :29 ;
1497 :29 ;
1498 :29 ;
1499 :29 ;
1500 :bb ;
1501 :bb ;
1502 :bb ;
1503 :bb ;
1504 :bb ;
1505 :bb ;
1506 :bb ;
1507 :bb ;
1508 :bb ;
1509 :bb ;
1510 :bb ;
1511 :bb ;
1512 :bb ;
1513 :96 ;
1514 :6d ;
1515 :b6 ;
1516 :ff ;
1517 :ff ;
1518 :ff ;
1519 :ff ;
1520 :ff ;
1521 :ff ;
1522 :ff ;
1523 :da ;
1524 :ff ;
1525 :db ;
1526 :db ;
1527 :b6 ;
1528 :da ;
1529 :fb ;
1530 :b6 ;
1531 :91 ;
1532 :92 ;
1533 :96 ;
1534 :96 ;
1535 :96 ;
1536 :96 ;
1537 :92 ;
1538 :b6 ;
1539 :fa ;
1540 :ff ;
1541 :da ;
1542 :db ;
1543 :fb ;
1544 :d6 ;
1545 :da ;
1546 :fa ;
1547 :fb ;
1548 :fb ;
1549 :fb ;
1550 :da ;
1551 :d6 ;
1552 :d6 ;
1553 :fb ;
1554 :da ;
1555 :db ;
1556 :ff ;
1557 :ff ;
1558 :ff ;
1559 :ff ;
1560 :ff ;
1561 :ff ;
1562 :ff ;
1563 :ff ;
1564 :ff ;
1565 :ff ;
1566 :ff ;
1567 :ff ;
1568 :ff ;
1569 :ff ;
1570 :ff ;
1571 :ff ;
1572 :ff ;
1573 :ff ;
1574 :ff ;
1575 :ff ;
1576 :ff ;
1577 :ff ;
1578 :ff ;
1579 :ff ;
1580 :ff ;
1581 :b6 ;
1582 :fb ;
1583 :ff ;
1584 :ff ;
1585 :ff ;
1586 :ff ;
1587 :ff ;
1588 :ff ;
1589 :ff ;
1590 :ff ;
1591 :fb ;
1592 :49 ;
1593 :29 ;
1594 :29 ;
1595 :29 ;
1596 :29 ;
1597 :29 ;
1598 :29 ;
1599 :29 ;
1600 :bb ;
1601 :bb ;
1602 :bb ;
1603 :bb ;
1604 :bb ;
1605 :bb ;
1606 :bb ;
1607 :bb ;
1608 :bb ;
1609 :bb ;
1610 :bb ;
1611 :bb ;
1612 :bb ;
1613 :6d ;
1614 :92 ;
1615 :ff ;
1616 :ff ;
1617 :ff ;
1618 :ff ;
1619 :ff ;
1620 :ff ;
1621 :ff ;
1622 :ff ;
1623 :fb ;
1624 :d6 ;
1625 :ff ;
1626 :ff ;
1627 :ff ;
1628 :ff ;
1629 :db ;
1630 :b6 ;
1631 :92 ;
1632 :96 ;
1633 :92 ;
1634 :96 ;
1635 :96 ;
1636 :96 ;
1637 :b2 ;
1638 :d6 ;
1639 :da ;
1640 :fb ;
1641 :ff ;
1642 :ff ;
1643 :ff ;
1644 :ff ;
1645 :ff ;
1646 :ff ;
1647 :ff ;
1648 :ff ;
1649 :fb ;
1650 :fa ;
1651 :fa ;
1652 :fa ;
1653 :fa ;
1654 :fa ;
1655 :fa ;
1656 :d6 ;
1657 :b2 ;
1658 :92 ;
1659 :92 ;
1660 :d6 ;
1661 :ff ;
1662 :ff ;
1663 :ff ;
1664 :ff ;
1665 :ff ;
1666 :ff ;
1667 :ff ;
1668 :ff ;
1669 :ff ;
1670 :ff ;
1671 :ff ;
1672 :ff ;
1673 :ff ;
1674 :ff ;
1675 :ff ;
1676 :ff ;
1677 :ff ;
1678 :ff ;
1679 :ff ;
1680 :ff ;
1681 :ff ;
1682 :b6 ;
1683 :ff ;
1684 :ff ;
1685 :ff ;
1686 :ff ;
1687 :ff ;
1688 :ff ;
1689 :ff ;
1690 :ff ;
1691 :ff ;
1692 :92 ;
1693 :29 ;
1694 :29 ;
1695 :29 ;
1696 :29 ;
1697 :29 ;
1698 :29 ;
1699 :29 ;
1700 :bb ;
1701 :bb ;
1702 :bb ;
1703 :bb ;
1704 :bb ;
1705 :bb ;
1706 :bb ;
1707 :bb ;
1708 :bb ;
1709 :bb ;
1710 :bb ;
1711 :bb ;
1712 :6e ;
1713 :b6 ;
1714 :ff ;
1715 :ff ;
1716 :ff ;
1717 :ff ;
1718 :ff ;
1719 :ff ;
1720 :ff ;
1721 :ff ;
1722 :ff ;
1723 :ff ;
1724 :ff ;
1725 :ff ;
1726 :ff ;
1727 :ff ;
1728 :ff ;
1729 :ff ;
1730 :ff ;
1731 :92 ;
1732 :96 ;
1733 :96 ;
1734 :96 ;
1735 :92 ;
1736 :b6 ;
1737 :fa ;
1738 :ff ;
1739 :ff ;
1740 :ff ;
1741 :ff ;
1742 :ff ;
1743 :ff ;
1744 :ff ;
1745 :ff ;
1746 :ff ;
1747 :ff ;
1748 :ff ;
1749 :ff ;
1750 :ff ;
1751 :ff ;
1752 :fa ;
1753 :fa ;
1754 :fa ;
1755 :fa ;
1756 :91 ;
1757 :8d ;
1758 :49 ;
1759 :49 ;
1760 :49 ;
1761 :49 ;
1762 :8d ;
1763 :b6 ;
1764 :b6 ;
1765 :ff ;
1766 :ff ;
1767 :ff ;
1768 :ff ;
1769 :ff ;
1770 :ff ;
1771 :ff ;
1772 :ff ;
1773 :ff ;
1774 :fb ;
1775 :ff ;
1776 :ff ;
1777 :fb ;
1778 :da ;
1779 :ff ;
1780 :ff ;
1781 :ff ;
1782 :da ;
1783 :ff ;
1784 :ff ;
1785 :ff ;
1786 :ff ;
1787 :ff ;
1788 :ff ;
1789 :ff ;
1790 :ff ;
1791 :ff ;
1792 :ff ;
1793 :49 ;
1794 :29 ;
1795 :29 ;
1796 :29 ;
1797 :29 ;
1798 :29 ;
1799 :29 ;
1800 :bb ;
1801 :bb ;
1802 :bb ;
1803 :bb ;
1804 :bb ;
1805 :bb ;
1806 :bb ;
1807 :bb ;
1808 :bb ;
1809 :bb ;
1810 :bb ;
1811 :72 ;
1812 :d6 ;
1813 :ff ;
1814 :ff ;
1815 :ff ;
1816 :ff ;
1817 :ff ;
1818 :ff ;
1819 :ff ;
1820 :ff ;
1821 :ff ;
1822 :ff ;
1823 :ff ;
1824 :ff ;
1825 :ff ;
1826 :ff ;
1827 :ff ;
1828 :ff ;
1829 :ff ;
1830 :ff ;
1831 :da ;
1832 :92 ;
1833 :96 ;
1834 :96 ;
1835 :b6 ;
1836 :fa ;
1837 :fb ;
1838 :ff ;
1839 :ff ;
1840 :ff ;
1841 :ff ;
1842 :ff ;
1843 :ff ;
1844 :ff ;
1845 :ff ;
1846 :ff ;
1847 :ff ;
1848 :ff ;
1849 :ff ;
1850 :ff ;
1851 :ff ;
1852 :ff ;
1853 :fb ;
1854 :d6 ;
1855 :69 ;
1856 :49 ;
1857 :49 ;
1858 :49 ;
1859 :49 ;
1860 :49 ;
1861 :49 ;
1862 :69 ;
1863 :49 ;
1864 :6d ;
1865 :da ;
1866 :da ;
1867 :ff ;
1868 :ff ;
1869 :ff ;
1870 :ff ;
1871 :ff ;
1872 :da ;
1873 :ff ;
1874 :ff ;
1875 :b2 ;
1876 :fa ;
1877 :fa ;
1878 :fa ;
1879 :d6 ;
1880 :d6 ;
1881 :db ;
1882 :db ;
1883 :ff ;
1884 :ff ;
1885 :ff ;
1886 :ff ;
1887 :ff ;
1888 :ff ;
1889 :ff ;
1890 :d6 ;
1891 :da ;
1892 :ff ;
1893 :b6 ;
1894 :29 ;
1895 :29 ;
1896 :29 ;
1897 :29 ;
1898 :29 ;
1899 :29 ;
1900 :bb ;
1901 :bb ;
1902 :bb ;
1903 :bb ;
1904 :bb ;
1905 :bb ;
1906 :bb ;
1907 :bb ;
1908 :bb ;
1909 :bb ;
1910 :72 ;
1911 :b6 ;
1912 :ff ;
1913 :ff ;
1914 :ff ;
1915 :ff ;
1916 :ff ;
1917 :ff ;
1918 :ff ;
1919 :ff ;
1920 :ff ;
1921 :ff ;
1922 :ff ;
1923 :ff ;
1924 :ff ;
1925 :ff ;
1926 :ff ;
1927 :ff ;
1928 :ff ;
1929 :ff ;
1930 :ff ;
1931 :ff ;
1932 :b6 ;
1933 :96 ;
1934 :92 ;
1935 :fb ;
1936 :fb ;
1937 :ff ;
1938 :ff ;
1939 :ff ;
1940 :ff ;
1941 :ff ;
1942 :ff ;
1943 :ff ;
1944 :ff ;
1945 :ff ;
1946 :ff ;
1947 :ff ;
1948 :ff ;
1949 :ff ;
1950 :ff ;
1951 :ff ;
1952 :ff ;
1953 :db ;
1954 :49 ;
1955 :49 ;
1956 :49 ;
1957 :49 ;
1958 :45 ;
1959 :69 ;
1960 :b6 ;
1961 :ff ;
1962 :ff ;
1963 :ff ;
1964 :db ;
1965 :d6 ;
1966 :ff ;
1967 :fb ;
1968 :db ;
1969 :ff ;
1970 :ff ;
1971 :ff ;
1972 :da ;
1973 :91 ;
1974 :b6 ;
1975 :48 ;
1976 :b2 ;
1977 :d6 ;
1978 :d6 ;
1979 :fa ;
1980 :fb ;
1981 :ff ;
1982 :da ;
1983 :db ;
1984 :ff ;
1985 :ff ;
1986 :ff ;
1987 :ff ;
1988 :ff ;
1989 :ff ;
1990 :ff ;
1991 :6d ;
1992 :b6 ;
1993 :ff ;
1994 :29 ;
1995 :29 ;
1996 :29 ;
1997 :29 ;
1998 :29 ;
1999 :29 ;
2000 :bb ;
2001 :bb ;
2002 :bb ;
2003 :bb ;
2004 :bb ;
2005 :bb ;
2006 :bb ;
2007 :bb ;
2008 :bb ;
2009 :92 ;
2010 :da ;
2011 :ff ;
2012 :ff ;
2013 :ff ;
2014 :ff ;
2015 :ff ;
2016 :ff ;
2017 :ff ;
2018 :ff ;
2019 :ff ;
2020 :ff ;
2021 :ff ;
2022 :ff ;
2023 :ff ;
2024 :ff ;
2025 :ff ;
2026 :ff ;
2027 :ff ;
2028 :ff ;
2029 :ff ;
2030 :ff ;
2031 :ff ;
2032 :ff ;
2033 :91 ;
2034 :da ;
2035 :ff ;
2036 :ff ;
2037 :ff ;
2038 :ff ;
2039 :ff ;
2040 :ff ;
2041 :ff ;
2042 :ff ;
2043 :ff ;
2044 :ff ;
2045 :ff ;
2046 :ff ;
2047 :ff ;
2048 :ff ;
2049 :ff ;
2050 :ff ;
2051 :ff ;
2052 :b2 ;
2053 :49 ;
2054 :49 ;
2055 :45 ;
2056 :45 ;
2057 :6d ;
2058 :db ;
2059 :ff ;
2060 :ff ;
2061 :ff ;
2062 :ff ;
2063 :ff ;
2064 :ff ;
2065 :ff ;
2066 :ff ;
2067 :ff ;
2068 :ff ;
2069 :fb ;
2070 :d6 ;
2071 :ff ;
2072 :ff ;
2073 :db ;
2074 :fa ;
2075 :fb ;
2076 :b2 ;
2077 :b6 ;
2078 :fb ;
2079 :b6 ;
2080 :b6 ;
2081 :da ;
2082 :ff ;
2083 :fb ;
2084 :ff ;
2085 :ff ;
2086 :ff ;
2087 :ff ;
2088 :ff ;
2089 :ff ;
2090 :ff ;
2091 :ff ;
2092 :6d ;
2093 :b6 ;
2094 :6e ;
2095 :29 ;
2096 :29 ;
2097 :29 ;
2098 :29 ;
2099 :29 ;
2100 :bb ;
2101 :bb ;
2102 :bb ;
2103 :bb ;
2104 :bb ;
2105 :bb ;
2106 :bb ;
2107 :bb ;
2108 :96 ;
2109 :b6 ;
2110 :ff ;
2111 :ff ;
2112 :ff ;
2113 :ff ;
2114 :ff ;
2115 :ff ;
2116 :ff ;
2117 :ff ;
2118 :ff ;
2119 :ff ;
2120 :ff ;
2121 :ff ;
2122 :ff ;
2123 :ff ;
2124 :ff ;
2125 :ff ;
2126 :ff ;
2127 :ff ;
2128 :ff ;
2129 :ff ;
2130 :ff ;
2131 :ff ;
2132 :ff ;
2133 :da ;
2134 :fb ;
2135 :ff ;
2136 :ff ;
2137 :ff ;
2138 :ff ;
2139 :ff ;
2140 :ff ;
2141 :ff ;
2142 :ff ;
2143 :ff ;
2144 :ff ;
2145 :ff ;
2146 :ff ;
2147 :fb ;
2148 :ff ;
2149 :ff ;
2150 :b6 ;
2151 :8d ;
2152 :69 ;
2153 :49 ;
2154 :45 ;
2155 :49 ;
2156 :b6 ;
2157 :ff ;
2158 :ff ;
2159 :ff ;
2160 :ff ;
2161 :ff ;
2162 :ff ;
2163 :ff ;
2164 :ff ;
2165 :ff ;
2166 :ff ;
2167 :fb ;
2168 :ff ;
2169 :ff ;
2170 :ff ;
2171 :d6 ;
2172 :ff ;
2173 :ff ;
2174 :d6 ;
2175 :fb ;
2176 :ff ;
2177 :db ;
2178 :92 ;
2179 :d6 ;
2180 :fb ;
2181 :da ;
2182 :b6 ;
2183 :da ;
2184 :ff ;
2185 :ff ;
2186 :ff ;
2187 :ff ;
2188 :ff ;
2189 :ff ;
2190 :ff ;
2191 :ff ;
2192 :b6 ;
2193 :49 ;
2194 :92 ;
2195 :29 ;
2196 :29 ;
2197 :29 ;
2198 :29 ;
2199 :29 ;
2200 :bb ;
2201 :bb ;
2202 :bb ;
2203 :bb ;
2204 :bb ;
2205 :bb ;
2206 :bb ;
2207 :b7 ;
2208 :92 ;
2209 :ff ;
2210 :ff ;
2211 :ff ;
2212 :ff ;
2213 :ff ;
2214 :ff ;
2215 :ff ;
2216 :ff ;
2217 :ff ;
2218 :ff ;
2219 :ff ;
2220 :ff ;
2221 :ff ;
2222 :ff ;
2223 :ff ;
2224 :ff ;
2225 :ff ;
2226 :ff ;
2227 :ff ;
2228 :ff ;
2229 :ff ;
2230 :ff ;
2231 :ff ;
2232 :ff ;
2233 :ff ;
2234 :ff ;
2235 :ff ;
2236 :ff ;
2237 :ff ;
2238 :ff ;
2239 :ff ;
2240 :ff ;
2241 :ff ;
2242 :ff ;
2243 :ff ;
2244 :ff ;
2245 :ff ;
2246 :da ;
2247 :fb ;
2248 :d6 ;
2249 :b6 ;
2250 :fb ;
2251 :6d ;
2252 :49 ;
2253 :45 ;
2254 :49 ;
2255 :db ;
2256 :ff ;
2257 :db ;
2258 :d6 ;
2259 :b6 ;
2260 :b1 ;
2261 :b1 ;
2262 :b1 ;
2263 :b6 ;
2264 :da ;
2265 :ff ;
2266 :ff ;
2267 :fb ;
2268 :fb ;
2269 :fb ;
2270 :fb ;
2271 :ff ;
2272 :da ;
2273 :ff ;
2274 :ff ;
2275 :fb ;
2276 :ff ;
2277 :ff ;
2278 :ff ;
2279 :b6 ;
2280 :b6 ;
2281 :fb ;
2282 :fb ;
2283 :fa ;
2284 :b6 ;
2285 :da ;
2286 :ff ;
2287 :ff ;
2288 :ff ;
2289 :ff ;
2290 :ff ;
2291 :ff ;
2292 :ff ;
2293 :8e ;
2294 :29 ;
2295 :29 ;
2296 :29 ;
2297 :29 ;
2298 :29 ;
2299 :29 ;
2300 :bb ;
2301 :bb ;
2302 :bb ;
2303 :bb ;
2304 :bb ;
2305 :bb ;
2306 :bb ;
2307 :92 ;
2308 :fb ;
2309 :ff ;
2310 :ff ;
2311 :ff ;
2312 :ff ;
2313 :ff ;
2314 :ff ;
2315 :ff ;
2316 :ff ;
2317 :ff ;
2318 :ff ;
2319 :ff ;
2320 :ff ;
2321 :ff ;
2322 :ff ;
2323 :ff ;
2324 :ff ;
2325 :ff ;
2326 :ff ;
2327 :ff ;
2328 :ff ;
2329 :ff ;
2330 :ff ;
2331 :ff ;
2332 :ff ;
2333 :ff ;
2334 :ff ;
2335 :ff ;
2336 :ff ;
2337 :ff ;
2338 :ff ;
2339 :ff ;
2340 :ff ;
2341 :ff ;
2342 :ff ;
2343 :ff ;
2344 :ff ;
2345 :d6 ;
2346 :b2 ;
2347 :b6 ;
2348 :fb ;
2349 :fb ;
2350 :8d ;
2351 :49 ;
2352 :49 ;
2353 :49 ;
2354 :db ;
2355 :d7 ;
2356 :8d ;
2357 :8d ;
2358 :b1 ;
2359 :b1 ;
2360 :b1 ;
2361 :b1 ;
2362 :d6 ;
2363 :fa ;
2364 :fa ;
2365 :d6 ;
2366 :ff ;
2367 :d6 ;
2368 :fb ;
2369 :fb ;
2370 :fb ;
2371 :fb ;
2372 :ff ;
2373 :da ;
2374 :fb ;
2375 :da ;
2376 :ff ;
2377 :ff ;
2378 :ff ;
2379 :ff ;
2380 :d6 ;
2381 :b6 ;
2382 :fb ;
2383 :fa ;
2384 :fa ;
2385 :fa ;
2386 :b6 ;
2387 :da ;
2388 :ff ;
2389 :ff ;
2390 :ff ;
2391 :ff ;
2392 :ff ;
2393 :db ;
2394 :49 ;
2395 :29 ;
2396 :29 ;
2397 :29 ;
2398 :29 ;
2399 :29 ;
2400 :bb ;
2401 :bb ;
2402 :bb ;
2403 :bb ;
2404 :bb ;
2405 :bb ;
2406 :92 ;
2407 :d6 ;
2408 :ff ;
2409 :ff ;
2410 :ff ;
2411 :ff ;
2412 :ff ;
2413 :ff ;
2414 :ff ;
2415 :ff ;
2416 :ff ;
2417 :ff ;
2418 :ff ;
2419 :ff ;
2420 :ff ;
2421 :ff ;
2422 :ff ;
2423 :ff ;
2424 :ff ;
2425 :ff ;
2426 :ff ;
2427 :ff ;
2428 :ff ;
2429 :ff ;
2430 :ff ;
2431 :ff ;
2432 :ff ;
2433 :ff ;
2434 :ff ;
2435 :ff ;
2436 :ff ;
2437 :ff ;
2438 :ff ;
2439 :ff ;
2440 :ff ;
2441 :ff ;
2442 :ff ;
2443 :db ;
2444 :92 ;
2445 :b2 ;
2446 :fb ;
2447 :fb ;
2448 :fb ;
2449 :fb ;
2450 :49 ;
2451 :49 ;
2452 :45 ;
2453 :b6 ;
2454 :db ;
2455 :8d ;
2456 :8d ;
2457 :b1 ;
2458 :b1 ;
2459 :b1 ;
2460 :b1 ;
2461 :fa ;
2462 :fa ;
2463 :fa ;
2464 :fa ;
2465 :fa ;
2466 :d6 ;
2467 :d6 ;
2468 :fb ;
2469 :fb ;
2470 :fb ;
2471 :fb ;
2472 :ff ;
2473 :ff ;
2474 :da ;
2475 :da ;
2476 :ff ;
2477 :ff ;
2478 :ff ;
2479 :ff ;
2480 :ff ;
2481 :b6 ;
2482 :d6 ;
2483 :fa ;
2484 :fa ;
2485 :fa ;
2486 :fa ;
2487 :d6 ;
2488 :b6 ;
2489 :fb ;
2490 :ff ;
2491 :ff ;
2492 :ff ;
2493 :fb ;
2494 :4d ;
2495 :29 ;
2496 :29 ;
2497 :29 ;
2498 :29 ;
2499 :49 ;
2500 :bb ;
2501 :bb ;
2502 :bb ;
2503 :bb ;
2504 :bb ;
2505 :b7 ;
2506 :b2 ;
2507 :ff ;
2508 :ff ;
2509 :ff ;
2510 :ff ;
2511 :ff ;
2512 :ff ;
2513 :ff ;
2514 :ff ;
2515 :ff ;
2516 :ff ;
2517 :ff ;
2518 :ff ;
2519 :ff ;
2520 :ff ;
2521 :ff ;
2522 :ff ;
2523 :ff ;
2524 :ff ;
2525 :ff ;
2526 :ff ;
2527 :ff ;
2528 :ff ;
2529 :ff ;
2530 :ff ;
2531 :ff ;
2532 :ff ;
2533 :ff ;
2534 :ff ;
2535 :ff ;
2536 :ff ;
2537 :ff ;
2538 :ff ;
2539 :ff ;
2540 :db ;
2541 :b6 ;
2542 :8d ;
2543 :d6 ;
2544 :91 ;
2545 :fb ;
2546 :fb ;
2547 :ff ;
2548 :db ;
2549 :b2 ;
2550 :49 ;
2551 :45 ;
2552 :8e ;
2553 :db ;
2554 :6d ;
2555 :8d ;
2556 :8d ;
2557 :ad ;
2558 :b1 ;
2559 :b1 ;
2560 :d6 ;
2561 :fa ;
2562 :fa ;
2563 :fa ;
2564 :fa ;
2565 :fa ;
2566 :fa ;
2567 :d6 ;
2568 :fb ;
2569 :fb ;
2570 :fb ;
2571 :fb ;
2572 :ff ;
2573 :ff ;
2574 :ff ;
2575 :b2 ;
2576 :ff ;
2577 :ff ;
2578 :ff ;
2579 :ff ;
2580 :ff ;
2581 :ff ;
2582 :b6 ;
2583 :fa ;
2584 :fa ;
2585 :fa ;
2586 :fa ;
2587 :fa ;
2588 :fa ;
2589 :d6 ;
2590 :b6 ;
2591 :ff ;
2592 :ff ;
2593 :ff ;
2594 :b6 ;
2595 :29 ;
2596 :29 ;
2597 :29 ;
2598 :29 ;
2599 :92 ;
2600 :bb ;
2601 :bb ;
2602 :bb ;
2603 :bb ;
2604 :bb ;
2605 :92 ;
2606 :fb ;
2607 :ff ;
2608 :ff ;
2609 :ff ;
2610 :ff ;
2611 :ff ;
2612 :ff ;
2613 :ff ;
2614 :ff ;
2615 :ff ;
2616 :ff ;
2617 :ff ;
2618 :ff ;
2619 :ff ;
2620 :ff ;
2621 :ff ;
2622 :ff ;
2623 :ff ;
2624 :ff ;
2625 :ff ;
2626 :ff ;
2627 :ff ;
2628 :ff ;
2629 :ff ;
2630 :ff ;
2631 :ff ;
2632 :ff ;
2633 :ff ;
2634 :ff ;
2635 :ff ;
2636 :ff ;
2637 :ff ;
2638 :da ;
2639 :d6 ;
2640 :b2 ;
2641 :d6 ;
2642 :fb ;
2643 :91 ;
2644 :d6 ;
2645 :fb ;
2646 :ff ;
2647 :ff ;
2648 :d6 ;
2649 :8d ;
2650 :49 ;
2651 :49 ;
2652 :b6 ;
2653 :92 ;
2654 :8d ;
2655 :8d ;
2656 :8d ;
2657 :8d ;
2658 :b1 ;
2659 :b1 ;
2660 :fa ;
2661 :fa ;
2662 :fa ;
2663 :fa ;
2664 :fa ;
2665 :fa ;
2666 :fa ;
2667 :d6 ;
2668 :fb ;
2669 :fb ;
2670 :fb ;
2671 :fb ;
2672 :ff ;
2673 :ff ;
2674 :ff ;
2675 :fb ;
2676 :ff ;
2677 :ff ;
2678 :ff ;
2679 :ff ;
2680 :ff ;
2681 :ff ;
2682 :fb ;
2683 :d6 ;
2684 :fa ;
2685 :fa ;
2686 :fa ;
2687 :fa ;
2688 :fa ;
2689 :fa ;
2690 :fa ;
2691 :b2 ;
2692 :ff ;
2693 :ff ;
2694 :ff ;
2695 :6d ;
2696 :29 ;
2697 :29 ;
2698 :29 ;
2699 :b6 ;
2700 :bb ;
2701 :bb ;
2702 :bb ;
2703 :bb ;
2704 :96 ;
2705 :d6 ;
2706 :ff ;
2707 :ff ;
2708 :ff ;
2709 :ff ;
2710 :ff ;
2711 :ff ;
2712 :ff ;
2713 :ff ;
2714 :ff ;
2715 :ff ;
2716 :ff ;
2717 :ff ;
2718 :ff ;
2719 :ff ;
2720 :ff ;
2721 :ff ;
2722 :ff ;
2723 :ff ;
2724 :ff ;
2725 :ff ;
2726 :ff ;
2727 :ff ;
2728 :ff ;
2729 :ff ;
2730 :ff ;
2731 :ff ;
2732 :ff ;
2733 :da ;
2734 :d6 ;
2735 :fb ;
2736 :fb ;
2737 :d6 ;
2738 :b6 ;
2739 :b2 ;
2740 :fb ;
2741 :fb ;
2742 :da ;
2743 :6d ;
2744 :ff ;
2745 :ff ;
2746 :ff ;
2747 :b6 ;
2748 :ff ;
2749 :6d ;
2750 :49 ;
2751 :49 ;
2752 :db ;
2753 :69 ;
2754 :8d ;
2755 :8d ;
2756 :8d ;
2757 :8d ;
2758 :8d ;
2759 :8d ;
2760 :da ;
2761 :fa ;
2762 :fa ;
2763 :fa ;
2764 :fa ;
2765 :fa ;
2766 :fa ;
2767 :fa ;
2768 :fb ;
2769 :fb ;
2770 :fb ;
2771 :fb ;
2772 :ff ;
2773 :ff ;
2774 :ff ;
2775 :ff ;
2776 :ff ;
2777 :ff ;
2778 :ff ;
2779 :ff ;
2780 :ff ;
2781 :ff ;
2782 :ff ;
2783 :d6 ;
2784 :fa ;
2785 :fa ;
2786 :fa ;
2787 :fa ;
2788 :b2 ;
2789 :b6 ;
2790 :b2 ;
2791 :b2 ;
2792 :8d ;
2793 :db ;
2794 :ff ;
2795 :d6 ;
2796 :29 ;
2797 :29 ;
2798 :49 ;
2799 :ff ;
2800 :bb ;
2801 :bb ;
2802 :bb ;
2803 :bb ;
2804 :6d ;
2805 :ff ;
2806 :ff ;
2807 :ff ;
2808 :ff ;
2809 :ff ;
2810 :ff ;
2811 :ff ;
2812 :ff ;
2813 :ff ;
2814 :ff ;
2815 :ff ;
2816 :ff ;
2817 :ff ;
2818 :ff ;
2819 :ff ;
2820 :ff ;
2821 :ff ;
2822 :ff ;
2823 :ff ;
2824 :ff ;
2825 :ff ;
2826 :ff ;
2827 :ff ;
2828 :ff ;
2829 :ff ;
2830 :ff ;
2831 :ff ;
2832 :ff ;
2833 :ff ;
2834 :ff ;
2835 :d6 ;
2836 :b6 ;
2837 :8d ;
2838 :92 ;
2839 :d6 ;
2840 :fb ;
2841 :fb ;
2842 :b6 ;
2843 :b6 ;
2844 :ff ;
2845 :ff ;
2846 :ff ;
2847 :b6 ;
2848 :ff ;
2849 :49 ;
2850 :49 ;
2851 :6d ;
2852 :b6 ;
2853 :8d ;
2854 :8d ;
2855 :8d ;
2856 :8d ;
2857 :8d ;
2858 :69 ;
2859 :69 ;
2860 :69 ;
2861 :91 ;
2862 :fa ;
2863 :fa ;
2864 :fe ;
2865 :fa ;
2866 :fa ;
2867 :fb ;
2868 :fb ;
2869 :fb ;
2870 :fb ;
2871 :fb ;
2872 :ff ;
2873 :ff ;
2874 :ff ;
2875 :ff ;
2876 :ff ;
2877 :ff ;
2878 :ff ;
2879 :ff ;
2880 :ff ;
2881 :ff ;
2882 :ff ;
2883 :db ;
2884 :d6 ;
2885 :fa ;
2886 :fa ;
2887 :fa ;
2888 :d6 ;
2889 :4d ;
2890 :49 ;
2891 :49 ;
2892 :29 ;
2893 :49 ;
2894 :b6 ;
2895 :ff ;
2896 :29 ;
2897 :29 ;
2898 :92 ;
2899 :ff ;
2900 :bb ;
2901 :bb ;
2902 :bb ;
2903 :92 ;
2904 :b6 ;
2905 :ff ;
2906 :ff ;
2907 :ff ;
2908 :ff ;
2909 :ff ;
2910 :ff ;
2911 :ff ;
2912 :ff ;
2913 :ff ;
2914 :ff ;
2915 :ff ;
2916 :ff ;
2917 :ff ;
2918 :ff ;
2919 :ff ;
2920 :ff ;
2921 :ff ;
2922 :ff ;
2923 :ff ;
2924 :ff ;
2925 :ff ;
2926 :ff ;
2927 :ff ;
2928 :ff ;
2929 :ff ;
2930 :ff ;
2931 :ff ;
2932 :ff ;
2933 :ff ;
2934 :ff ;
2935 :ff ;
2936 :ff ;
2937 :ff ;
2938 :ff ;
2939 :da ;
2940 :b2 ;
2941 :b2 ;
2942 :91 ;
2943 :d7 ;
2944 :ff ;
2945 :ff ;
2946 :ff ;
2947 :d6 ;
2948 :ff ;
2949 :49 ;
2950 :49 ;
2951 :8e ;
2952 :b6 ;
2953 :8d ;
2954 :8d ;
2955 :8d ;
2956 :8d ;
2957 :69 ;
2958 :69 ;
2959 :69 ;
2960 :69 ;
2961 :69 ;
2962 :fa ;
2963 :fa ;
2964 :fa ;
2965 :fa ;
2966 :fa ;
2967 :fb ;
2968 :fb ;
2969 :fb ;
2970 :fb ;
2971 :fb ;
2972 :ff ;
2973 :ff ;
2974 :ff ;
2975 :ff ;
2976 :ff ;
2977 :ff ;
2978 :ff ;
2979 :ff ;
2980 :ff ;
2981 :ff ;
2982 :ff ;
2983 :ff ;
2984 :b2 ;
2985 :fa ;
2986 :fa ;
2987 :fa ;
2988 :fa ;
2989 :d6 ;
2990 :49 ;
2991 :49 ;
2992 :29 ;
2993 :29 ;
2994 :29 ;
2995 :db ;
2996 :6e ;
2997 :29 ;
2998 :fb ;
2999 :ff ;
3000 :bb ;
3001 :bb ;
3002 :bb ;
3003 :8e ;
3004 :ff ;
3005 :ff ;
3006 :ff ;
3007 :ff ;
3008 :ff ;
3009 :ff ;
3010 :ff ;
3011 :ff ;
3012 :ff ;
3013 :ff ;
3014 :ff ;
3015 :ff ;
3016 :ff ;
3017 :ff ;
3018 :ff ;
3019 :ff ;
3020 :ff ;
3021 :ff ;
3022 :ff ;
3023 :ff ;
3024 :ff ;
3025 :ff ;
3026 :ff ;
3027 :ff ;
3028 :ff ;
3029 :ff ;
3030 :ff ;
3031 :ff ;
3032 :ff ;
3033 :ff ;
3034 :ff ;
3035 :ff ;
3036 :ff ;
3037 :ff ;
3038 :ff ;
3039 :ff ;
3040 :ff ;
3041 :ff ;
3042 :b2 ;
3043 :b2 ;
3044 :da ;
3045 :ff ;
3046 :ff ;
3047 :da ;
3048 :ff ;
3049 :6d ;
3050 :69 ;
3051 :8e ;
3052 :b6 ;
3053 :8d ;
3054 :8d ;
3055 :8d ;
3056 :8d ;
3057 :8d ;
3058 :69 ;
3059 :69 ;
3060 :69 ;
3061 :b1 ;
3062 :fa ;
3063 :fa ;
3064 :fa ;
3065 :fa ;
3066 :da ;
3067 :fb ;
3068 :fb ;
3069 :fb ;
3070 :ff ;
3071 :ff ;
3072 :ff ;
3073 :ff ;
3074 :ff ;
3075 :ff ;
3076 :ff ;
3077 :ff ;
3078 :ff ;
3079 :ff ;
3080 :ff ;
3081 :ff ;
3082 :ff ;
3083 :ff ;
3084 :b6 ;
3085 :fa ;
3086 :fa ;
3087 :fa ;
3088 :fa ;
3089 :fa ;
3090 :92 ;
3091 :49 ;
3092 :29 ;
3093 :29 ;
3094 :29 ;
3095 :49 ;
3096 :49 ;
3097 :72 ;
3098 :b6 ;
3099 :b6 ;
3100 :bb ;
3101 :bb ;
3102 :96 ;
3103 :d6 ;
3104 :ff ;
3105 :ff ;
3106 :ff ;
3107 :ff ;
3108 :ff ;
3109 :ff ;
3110 :ff ;
3111 :ff ;
3112 :ff ;
3113 :ff ;
3114 :ff ;
3115 :ff ;
3116 :ff ;
3117 :ff ;
3118 :ff ;
3119 :ff ;
3120 :ff ;
3121 :ff ;
3122 :ff ;
3123 :ff ;
3124 :ff ;
3125 :ff ;
3126 :ff ;
3127 :ff ;
3128 :ff ;
3129 :ff ;
3130 :ff ;
3131 :ff ;
3132 :ff ;
3133 :ff ;
3134 :ff ;
3135 :ff ;
3136 :ff ;
3137 :ff ;
3138 :ff ;
3139 :ff ;
3140 :ff ;
3141 :ff ;
3142 :fa ;
3143 :ff ;
3144 :b6 ;
3145 :b2 ;
3146 :fb ;
3147 :db ;
3148 :ff ;
3149 :b2 ;
3150 :69 ;
3151 :69 ;
3152 :db ;
3153 :6d ;
3154 :8d ;
3155 :8d ;
3156 :ad ;
3157 :b1 ;
3158 :b1 ;
3159 :d6 ;
3160 :fa ;
3161 :fa ;
3162 :fa ;
3163 :fe ;
3164 :fe ;
3165 :fa ;
3166 :fb ;
3167 :ff ;
3168 :fb ;
3169 :ff ;
3170 :ff ;
3171 :ff ;
3172 :ff ;
3173 :ff ;
3174 :ff ;
3175 :ff ;
3176 :ff ;
3177 :ff ;
3178 :ff ;
3179 :ff ;
3180 :ff ;
3181 :ff ;
3182 :ff ;
3183 :ff ;
3184 :ff ;
3185 :d6 ;
3186 :fa ;
3187 :fa ;
3188 :fa ;
3189 :fa ;
3190 :da ;
3191 :29 ;
3192 :29 ;
3193 :29 ;
3194 :29 ;
3195 :29 ;
3196 :29 ;
3197 :72 ;
3198 :96 ;
3199 :bb ;
3200 :bb ;
3201 :bb ;
3202 :92 ;
3203 :ff ;
3204 :ff ;
3205 :ff ;
3206 :ff ;
3207 :ff ;
3208 :ff ;
3209 :ff ;
3210 :ff ;
3211 :ff ;
3212 :ff ;
3213 :ff ;
3214 :ff ;
3215 :ff ;
3216 :ff ;
3217 :ff ;
3218 :ff ;
3219 :ff ;
3220 :ff ;
3221 :ff ;
3222 :ff ;
3223 :ff ;
3224 :ff ;
3225 :ff ;
3226 :ff ;
3227 :ff ;
3228 :ff ;
3229 :ff ;
3230 :ff ;
3231 :ff ;
3232 :ff ;
3233 :ff ;
3234 :ff ;
3235 :ff ;
3236 :ff ;
3237 :ff ;
3238 :ff ;
3239 :ff ;
3240 :ff ;
3241 :ff ;
3242 :ff ;
3243 :ff ;
3244 :ff ;
3245 :ff ;
3246 :b6 ;
3247 :db ;
3248 :ff ;
3249 :fb ;
3250 :8d ;
3251 :8d ;
3252 :d7 ;
3253 :b2 ;
3254 :8d ;
3255 :ad ;
3256 :b1 ;
3257 :b1 ;
3258 :b1 ;
3259 :fa ;
3260 :fa ;
3261 :fa ;
3262 :fa ;
3263 :fa ;
3264 :fa ;
3265 :fa ;
3266 :ff ;
3267 :ff ;
3268 :ff ;
3269 :ff ;
3270 :ff ;
3271 :ff ;
3272 :ff ;
3273 :ff ;
3274 :ff ;
3275 :ff ;
3276 :ff ;
3277 :ff ;
3278 :ff ;
3279 :ff ;
3280 :ff ;
3281 :ff ;
3282 :ff ;
3283 :ff ;
3284 :ff ;
3285 :b2 ;
3286 :fa ;
3287 :fa ;
3288 :fa ;
3289 :fa ;
3290 :fa ;
3291 :6d ;
3292 :29 ;
3293 :29 ;
3294 :29 ;
3295 :29 ;
3296 :29 ;
3297 :97 ;
3298 :97 ;
3299 :bb ;
3300 :bb ;
3301 :b7 ;
3302 :92 ;
3303 :ff ;
3304 :ff ;
3305 :ff ;
3306 :ff ;
3307 :ff ;
3308 :ff ;
3309 :ff ;
3310 :ff ;
3311 :ff ;
3312 :ff ;
3313 :ff ;
3314 :ff ;
3315 :ff ;
3316 :ff ;
3317 :ff ;
3318 :ff ;
3319 :ff ;
3320 :ff ;
3321 :ff ;
3322 :ff ;
3323 :ff ;
3324 :ff ;
3325 :ff ;
3326 :ff ;
3327 :ff ;
3328 :ff ;
3329 :ff ;
3330 :ff ;
3331 :ff ;
3332 :ff ;
3333 :ff ;
3334 :ff ;
3335 :ff ;
3336 :ff ;
3337 :ff ;
3338 :ff ;
3339 :ff ;
3340 :ff ;
3341 :ff ;
3342 :ff ;
3343 :ff ;
3344 :ff ;
3345 :ff ;
3346 :ff ;
3347 :da ;
3348 :da ;
3349 :ff ;
3350 :d6 ;
3351 :d6 ;
3352 :92 ;
3353 :ff ;
3354 :b6 ;
3355 :b1 ;
3356 :b1 ;
3357 :b1 ;
3358 :b1 ;
3359 :fa ;
3360 :fa ;
3361 :fa ;
3362 :fa ;
3363 :fa ;
3364 :fa ;
3365 :ff ;
3366 :ff ;
3367 :ff ;
3368 :ff ;
3369 :ff ;
3370 :ff ;
3371 :ff ;
3372 :ff ;
3373 :ff ;
3374 :ff ;
3375 :ff ;
3376 :ff ;
3377 :ff ;
3378 :ff ;
3379 :ff ;
3380 :ff ;
3381 :ff ;
3382 :ff ;
3383 :ff ;
3384 :ff ;
3385 :d6 ;
3386 :fa ;
3387 :fa ;
3388 :fa ;
3389 :fa ;
3390 :fa ;
3391 :6d ;
3392 :29 ;
3393 :29 ;
3394 :29 ;
3395 :29 ;
3396 :72 ;
3397 :97 ;
3398 :97 ;
3399 :97 ;
3400 :bb ;
3401 :92 ;
3402 :db ;
3403 :ff ;
3404 :ff ;
3405 :ff ;
3406 :ff ;
3407 :ff ;
3408 :ff ;
3409 :ff ;
3410 :ff ;
3411 :ff ;
3412 :ff ;
3413 :ff ;
3414 :ff ;
3415 :ff ;
3416 :ff ;
3417 :ff ;
3418 :ff ;
3419 :ff ;
3420 :ff ;
3421 :ff ;
3422 :ff ;
3423 :ff ;
3424 :ff ;
3425 :ff ;
3426 :ff ;
3427 :ff ;
3428 :ff ;
3429 :ff ;
3430 :ff ;
3431 :ff ;
3432 :ff ;
3433 :ff ;
3434 :ff ;
3435 :ff ;
3436 :ff ;
3437 :ff ;
3438 :ff ;
3439 :ff ;
3440 :ff ;
3441 :ff ;
3442 :ff ;
3443 :ff ;
3444 :ff ;
3445 :ff ;
3446 :ff ;
3447 :ff ;
3448 :fb ;
3449 :d6 ;
3450 :ff ;
3451 :fb ;
3452 :db ;
3453 :db ;
3454 :ff ;
3455 :fb ;
3456 :d6 ;
3457 :b1 ;
3458 :d1 ;
3459 :d5 ;
3460 :fa ;
3461 :fa ;
3462 :fa ;
3463 :fa ;
3464 :ff ;
3465 :ff ;
3466 :ff ;
3467 :ff ;
3468 :ff ;
3469 :ff ;
3470 :ff ;
3471 :ff ;
3472 :ff ;
3473 :ff ;
3474 :ff ;
3475 :ff ;
3476 :ff ;
3477 :ff ;
3478 :ff ;
3479 :ff ;
3480 :ff ;
3481 :ff ;
3482 :ff ;
3483 :ff ;
3484 :ff ;
3485 :b6 ;
3486 :fa ;
3487 :fa ;
3488 :91 ;
3489 :92 ;
3490 :d6 ;
3491 :6d ;
3492 :29 ;
3493 :29 ;
3494 :29 ;
3495 :4e ;
3496 :97 ;
3497 :97 ;
3498 :72 ;
3499 :96 ;
3500 :bb ;
3501 :6e ;
3502 :ff ;
3503 :ff ;
3504 :ff ;
3505 :ff ;
3506 :ff ;
3507 :ff ;
3508 :ff ;
3509 :ff ;
3510 :ff ;
3511 :ff ;
3512 :ff ;
3513 :ff ;
3514 :ff ;
3515 :ff ;
3516 :ff ;
3517 :ff ;
3518 :ff ;
3519 :ff ;
3520 :ff ;
3521 :ff ;
3522 :ff ;
3523 :ff ;
3524 :ff ;
3525 :ff ;
3526 :ff ;
3527 :ff ;
3528 :ff ;
3529 :ff ;
3530 :ff ;
3531 :ff ;
3532 :ff ;
3533 :ff ;
3534 :ff ;
3535 :ff ;
3536 :ff ;
3537 :ff ;
3538 :ff ;
3539 :ff ;
3540 :ff ;
3541 :ff ;
3542 :ff ;
3543 :ff ;
3544 :ff ;
3545 :ff ;
3546 :ff ;
3547 :ff ;
3548 :ff ;
3549 :ff ;
3550 :db ;
3551 :ff ;
3552 :ff ;
3553 :ff ;
3554 :ff ;
3555 :ff ;
3556 :ff ;
3557 :ff ;
3558 :ff ;
3559 :ff ;
3560 :ff ;
3561 :ff ;
3562 :ff ;
3563 :ff ;
3564 :ff ;
3565 :ff ;
3566 :ff ;
3567 :ff ;
3568 :ff ;
3569 :ff ;
3570 :ff ;
3571 :ff ;
3572 :ff ;
3573 :ff ;
3574 :ff ;
3575 :ff ;
3576 :ff ;
3577 :ff ;
3578 :ff ;
3579 :ff ;
3580 :ff ;
3581 :ff ;
3582 :ff ;
3583 :ff ;
3584 :ff ;
3585 :b6 ;
3586 :fa ;
3587 :fa ;
3588 :6d ;
3589 :49 ;
3590 :49 ;
3591 :49 ;
3592 :29 ;
3593 :29 ;
3594 :29 ;
3595 :72 ;
3596 :6d ;
3597 :df ;
3598 :ff ;
3599 :db ;
3600 :97 ;
3601 :b6 ;
3602 :ff ;
3603 :ff ;
3604 :ff ;
3605 :ff ;
3606 :ff ;
3607 :ff ;
3608 :ff ;
3609 :ff ;
3610 :ff ;
3611 :ff ;
3612 :ff ;
3613 :ff ;
3614 :ff ;
3615 :ff ;
3616 :ff ;
3617 :ff ;
3618 :ff ;
3619 :ff ;
3620 :ff ;
3621 :ff ;
3622 :ff ;
3623 :ff ;
3624 :ff ;
3625 :ff ;
3626 :ff ;
3627 :ff ;
3628 :ff ;
3629 :ff ;
3630 :ff ;
3631 :ff ;
3632 :ff ;
3633 :ff ;
3634 :ff ;
3635 :ff ;
3636 :ff ;
3637 :ff ;
3638 :ff ;
3639 :ff ;
3640 :ff ;
3641 :ff ;
3642 :ff ;
3643 :ff ;
3644 :ff ;
3645 :ff ;
3646 :ff ;
3647 :b6 ;
3648 :b6 ;
3649 :ff ;
3650 :ff ;
3651 :db ;
3652 :ff ;
3653 :ff ;
3654 :ff ;
3655 :ff ;
3656 :ff ;
3657 :ff ;
3658 :ff ;
3659 :ff ;
3660 :ff ;
3661 :ff ;
3662 :ff ;
3663 :ff ;
3664 :ff ;
3665 :ff ;
3666 :ff ;
3667 :ff ;
3668 :ff ;
3669 :ff ;
3670 :ff ;
3671 :ff ;
3672 :ff ;
3673 :ff ;
3674 :ff ;
3675 :ff ;
3676 :ff ;
3677 :ff ;
3678 :ff ;
3679 :ff ;
3680 :ff ;
3681 :ff ;
3682 :ff ;
3683 :ff ;
3684 :ff ;
3685 :d6 ;
3686 :fa ;
3687 :fa ;
3688 :92 ;
3689 :49 ;
3690 :49 ;
3691 :29 ;
3692 :29 ;
3693 :29 ;
3694 :29 ;
3695 :49 ;
3696 :db ;
3697 :ff ;
3698 :ff ;
3699 :ff ;
3700 :92 ;
3701 :db ;
3702 :ff ;
3703 :ff ;
3704 :ff ;
3705 :ff ;
3706 :ff ;
3707 :ff ;
3708 :ff ;
3709 :ff ;
3710 :ff ;
3711 :ff ;
3712 :ff ;
3713 :ff ;
3714 :ff ;
3715 :ff ;
3716 :ff ;
3717 :ff ;
3718 :ff ;
3719 :ff ;
3720 :ff ;
3721 :ff ;
3722 :ff ;
3723 :ff ;
3724 :ff ;
3725 :ff ;
3726 :ff ;
3727 :ff ;
3728 :ff ;
3729 :ff ;
3730 :ff ;
3731 :ff ;
3732 :ff ;
3733 :ff ;
3734 :ff ;
3735 :ff ;
3736 :ff ;
3737 :ff ;
3738 :ff ;
3739 :ff ;
3740 :ff ;
3741 :ff ;
3742 :ff ;
3743 :ff ;
3744 :ff ;
3745 :ff ;
3746 :ff ;
3747 :ff ;
3748 :d6 ;
3749 :92 ;
3750 :da ;
3751 :fb ;
3752 :ff ;
3753 :ff ;
3754 :ff ;
3755 :ff ;
3756 :ff ;
3757 :ff ;
3758 :ff ;
3759 :ff ;
3760 :ff ;
3761 :ff ;
3762 :ff ;
3763 :ff ;
3764 :ff ;
3765 :ff ;
3766 :ff ;
3767 :ff ;
3768 :ff ;
3769 :ff ;
3770 :ff ;
3771 :ff ;
3772 :ff ;
3773 :ff ;
3774 :ff ;
3775 :ff ;
3776 :ff ;
3777 :ff ;
3778 :ff ;
3779 :ff ;
3780 :ff ;
3781 :ff ;
3782 :ff ;
3783 :ff ;
3784 :ff ;
3785 :ff ;
3786 :d6 ;
3787 :fa ;
3788 :fa ;
3789 :49 ;
3790 :49 ;
3791 :49 ;
3792 :29 ;
3793 :29 ;
3794 :29 ;
3795 :db ;
3796 :ff ;
3797 :ff ;
3798 :ff ;
3799 :ff ;
3800 :6e ;
3801 :ff ;
3802 :ff ;
3803 :ff ;
3804 :ff ;
3805 :ff ;
3806 :ff ;
3807 :ff ;
3808 :ff ;
3809 :ff ;
3810 :ff ;
3811 :ff ;
3812 :ff ;
3813 :ff ;
3814 :ff ;
3815 :ff ;
3816 :ff ;
3817 :ff ;
3818 :ff ;
3819 :ff ;
3820 :ff ;
3821 :ff ;
3822 :ff ;
3823 :ff ;
3824 :ff ;
3825 :ff ;
3826 :ff ;
3827 :ff ;
3828 :ff ;
3829 :ff ;
3830 :ff ;
3831 :ff ;
3832 :ff ;
3833 :ff ;
3834 :ff ;
3835 :ff ;
3836 :ff ;
3837 :ff ;
3838 :ff ;
3839 :ff ;
3840 :ff ;
3841 :ff ;
3842 :ff ;
3843 :ff ;
3844 :ff ;
3845 :ff ;
3846 :ff ;
3847 :ff ;
3848 :ff ;
3849 :fb ;
3850 :b6 ;
3851 :d6 ;
3852 :db ;
3853 :ff ;
3854 :ff ;
3855 :ff ;
3856 :ff ;
3857 :ff ;
3858 :ff ;
3859 :ff ;
3860 :ff ;
3861 :ff ;
3862 :ff ;
3863 :ff ;
3864 :ff ;
3865 :ff ;
3866 :ff ;
3867 :ff ;
3868 :ff ;
3869 :ff ;
3870 :ff ;
3871 :ff ;
3872 :ff ;
3873 :ff ;
3874 :ff ;
3875 :ff ;
3876 :ff ;
3877 :ff ;
3878 :ff ;
3879 :ff ;
3880 :ff ;
3881 :ff ;
3882 :ff ;
3883 :ff ;
3884 :ff ;
3885 :ff ;
3886 :b2 ;
3887 :fa ;
3888 :fa ;
3889 :d6 ;
3890 :49 ;
3891 :49 ;
3892 :29 ;
3893 :29 ;
3894 :b2 ;
3895 :ff ;
3896 :ff ;
3897 :ff ;
3898 :ff ;
3899 :ff ;
3900 :92 ;
3901 :ff ;
3902 :ff ;
3903 :ff ;
3904 :ff ;
3905 :ff ;
3906 :ff ;
3907 :ff ;
3908 :ff ;
3909 :ff ;
3910 :ff ;
3911 :ff ;
3912 :ff ;
3913 :ff ;
3914 :ff ;
3915 :ff ;
3916 :ff ;
3917 :ff ;
3918 :ff ;
3919 :ff ;
3920 :ff ;
3921 :ff ;
3922 :ff ;
3923 :ff ;
3924 :ff ;
3925 :ff ;
3926 :ff ;
3927 :ff ;
3928 :ff ;
3929 :ff ;
3930 :ff ;
3931 :ff ;
3932 :ff ;
3933 :ff ;
3934 :ff ;
3935 :ff ;
3936 :ff ;
3937 :ff ;
3938 :ff ;
3939 :ff ;
3940 :ff ;
3941 :ff ;
3942 :ff ;
3943 :ff ;
3944 :ff ;
3945 :ff ;
3946 :ff ;
3947 :ff ;
3948 :ff ;
3949 :ff ;
3950 :da ;
3951 :d6 ;
3952 :fb ;
3953 :ff ;
3954 :ff ;
3955 :ff ;
3956 :ff ;
3957 :ff ;
3958 :ff ;
3959 :ff ;
3960 :ff ;
3961 :ff ;
3962 :ff ;
3963 :ff ;
3964 :ff ;
3965 :ff ;
3966 :ff ;
3967 :ff ;
3968 :ff ;
3969 :ff ;
3970 :ff ;
3971 :ff ;
3972 :ff ;
3973 :ff ;
3974 :ff ;
3975 :ff ;
3976 :ff ;
3977 :ff ;
3978 :ff ;
3979 :ff ;
3980 :ff ;
3981 :ff ;
3982 :ff ;
3983 :ff ;
3984 :ff ;
3985 :ff ;
3986 :b6 ;
3987 :fa ;
3988 :fa ;
3989 :fa ;
3990 :92 ;
3991 :29 ;
3992 :29 ;
3993 :6e ;
3994 :ff ;
3995 :ff ;
3996 :ff ;
3997 :ff ;
3998 :ff ;
3999 :ff ;
4000 :92 ;
4001 :ff ;
4002 :ff ;
4003 :ff ;
4004 :ff ;
4005 :ff ;
4006 :ff ;
4007 :ff ;
4008 :ff ;
4009 :ff ;
4010 :ff ;
4011 :ff ;
4012 :ff ;
4013 :ff ;
4014 :ff ;
4015 :ff ;
4016 :ff ;
4017 :ff ;
4018 :ff ;
4019 :ff ;
4020 :ff ;
4021 :ff ;
4022 :ff ;
4023 :ff ;
4024 :ff ;
4025 :ff ;
4026 :ff ;
4027 :ff ;
4028 :ff ;
4029 :ff ;
4030 :ff ;
4031 :ff ;
4032 :ff ;
4033 :ff ;
4034 :ff ;
4035 :ff ;
4036 :ff ;
4037 :ff ;
4038 :ff ;
4039 :ff ;
4040 :ff ;
4041 :ff ;
4042 :ff ;
4043 :ff ;
4044 :ff ;
4045 :ff ;
4046 :ff ;
4047 :ff ;
4048 :ff ;
4049 :ff ;
4050 :ff ;
4051 :da ;
4052 :fb ;
4053 :ff ;
4054 :ff ;
4055 :ff ;
4056 :ff ;
4057 :ff ;
4058 :ff ;
4059 :ff ;
4060 :ff ;
4061 :ff ;
4062 :ff ;
4063 :ff ;
4064 :ff ;
4065 :ff ;
4066 :ff ;
4067 :ff ;
4068 :ff ;
4069 :ff ;
4070 :ff ;
4071 :fb ;
4072 :ff ;
4073 :ff ;
4074 :ff ;
4075 :ff ;
4076 :ff ;
4077 :ff ;
4078 :ff ;
4079 :ff ;
4080 :ff ;
4081 :ff ;
4082 :ff ;
4083 :ff ;
4084 :ff ;
4085 :ff ;
4086 :b6 ;
4087 :fa ;
4088 :fa ;
4089 :da ;
4090 :b2 ;
4091 :6d ;
4092 :72 ;
4093 :ff ;
4094 :ff ;
4095 :ff ;
4096 :ff ;
4097 :ff ;
4098 :ff ;
4099 :ff ;
4100 :b6 ;
4101 :ff ;
4102 :ff ;
4103 :ff ;
4104 :ff ;
4105 :ff ;
4106 :ff ;
4107 :ff ;
4108 :ff ;
4109 :ff ;
4110 :ff ;
4111 :ff ;
4112 :ff ;
4113 :ff ;
4114 :ff ;
4115 :ff ;
4116 :ff ;
4117 :ff ;
4118 :ff ;
4119 :ff ;
4120 :ff ;
4121 :ff ;
4122 :ff ;
4123 :ff ;
4124 :ff ;
4125 :ff ;
4126 :ff ;
4127 :ff ;
4128 :ff ;
4129 :ff ;
4130 :ff ;
4131 :ff ;
4132 :ff ;
4133 :ff ;
4134 :ff ;
4135 :ff ;
4136 :ff ;
4137 :ff ;
4138 :ff ;
4139 :ff ;
4140 :ff ;
4141 :ff ;
4142 :ff ;
4143 :ff ;
4144 :ff ;
4145 :ff ;
4146 :ff ;
4147 :ff ;
4148 :ff ;
4149 :ff ;
4150 :ff ;
4151 :ff ;
4152 :db ;
4153 :ff ;
4154 :ff ;
4155 :ff ;
4156 :ff ;
4157 :ff ;
4158 :ff ;
4159 :ff ;
4160 :ff ;
4161 :ff ;
4162 :ff ;
4163 :ff ;
4164 :ff ;
4165 :ff ;
4166 :ff ;
4167 :ff ;
4168 :ff ;
4169 :db ;
4170 :ff ;
4171 :ff ;
4172 :db ;
4173 :fb ;
4174 :ff ;
4175 :ff ;
4176 :ff ;
4177 :ff ;
4178 :ff ;
4179 :ff ;
4180 :ff ;
4181 :ff ;
4182 :ff ;
4183 :ff ;
4184 :ff ;
4185 :ff ;
4186 :b2 ;
4187 :fa ;
4188 :b6 ;
4189 :49 ;
4190 :49 ;
4191 :49 ;
4192 :db ;
4193 :ff ;
4194 :ff ;
4195 :ff ;
4196 :ff ;
4197 :ff ;
4198 :ff ;
4199 :ff ;
4200 :b6 ;
4201 :ff ;
4202 :ff ;
4203 :ff ;
4204 :ff ;
4205 :ff ;
4206 :ff ;
4207 :ff ;
4208 :ff ;
4209 :ff ;
4210 :ff ;
4211 :ff ;
4212 :ff ;
4213 :ff ;
4214 :ff ;
4215 :ff ;
4216 :ff ;
4217 :ff ;
4218 :ff ;
4219 :ff ;
4220 :ff ;
4221 :ff ;
4222 :ff ;
4223 :ff ;
4224 :ff ;
4225 :ff ;
4226 :ff ;
4227 :ff ;
4228 :ff ;
4229 :ff ;
4230 :ff ;
4231 :ff ;
4232 :ff ;
4233 :ff ;
4234 :ff ;
4235 :ff ;
4236 :ff ;
4237 :ff ;
4238 :ff ;
4239 :ff ;
4240 :ff ;
4241 :ff ;
4242 :ff ;
4243 :ff ;
4244 :ff ;
4245 :ff ;
4246 :ff ;
4247 :ff ;
4248 :ff ;
4249 :ff ;
4250 :ff ;
4251 :ff ;
4252 :fb ;
4253 :ff ;
4254 :ff ;
4255 :ff ;
4256 :ff ;
4257 :ff ;
4258 :ff ;
4259 :ff ;
4260 :ff ;
4261 :ff ;
4262 :ff ;
4263 :ff ;
4264 :ff ;
4265 :ff ;
4266 :ff ;
4267 :ff ;
4268 :ff ;
4269 :db ;
4270 :ff ;
4271 :ff ;
4272 :ff ;
4273 :db ;
4274 :ff ;
4275 :ff ;
4276 :ff ;
4277 :ff ;
4278 :ff ;
4279 :ff ;
4280 :ff ;
4281 :ff ;
4282 :ff ;
4283 :ff ;
4284 :ff ;
4285 :ff ;
4286 :db ;
4287 :b6 ;
4288 :d6 ;
4289 :4d ;
4290 :49 ;
4291 :b6 ;
4292 :db ;
4293 :db ;
4294 :ff ;
4295 :ff ;
4296 :ff ;
4297 :ff ;
4298 :ff ;
4299 :ff ;
4300 :b6 ;
4301 :ff ;
4302 :fb ;
4303 :ff ;
4304 :ff ;
4305 :ff ;
4306 :ff ;
4307 :ff ;
4308 :ff ;
4309 :ff ;
4310 :ff ;
4311 :ff ;
4312 :ff ;
4313 :ff ;
4314 :ff ;
4315 :ff ;
4316 :ff ;
4317 :ff ;
4318 :ff ;
4319 :ff ;
4320 :ff ;
4321 :ff ;
4322 :ff ;
4323 :ff ;
4324 :ff ;
4325 :ff ;
4326 :ff ;
4327 :ff ;
4328 :ff ;
4329 :ff ;
4330 :ff ;
4331 :ff ;
4332 :ff ;
4333 :ff ;
4334 :ff ;
4335 :ff ;
4336 :ff ;
4337 :ff ;
4338 :ff ;
4339 :ff ;
4340 :ff ;
4341 :ff ;
4342 :ff ;
4343 :ff ;
4344 :ff ;
4345 :ff ;
4346 :ff ;
4347 :ff ;
4348 :ff ;
4349 :ff ;
4350 :ff ;
4351 :ff ;
4352 :fb ;
4353 :fb ;
4354 :ff ;
4355 :ff ;
4356 :ff ;
4357 :ff ;
4358 :ff ;
4359 :ff ;
4360 :ff ;
4361 :ff ;
4362 :ff ;
4363 :ff ;
4364 :ff ;
4365 :ff ;
4366 :ff ;
4367 :ff ;
4368 :ff ;
4369 :ff ;
4370 :ff ;
4371 :ff ;
4372 :ff ;
4373 :ff ;
4374 :db ;
4375 :ff ;
4376 :ff ;
4377 :ff ;
4378 :ff ;
4379 :ff ;
4380 :ff ;
4381 :ff ;
4382 :ff ;
4383 :ff ;
4384 :ff ;
4385 :ff ;
4386 :ff ;
4387 :fb ;
4388 :d6 ;
4389 :8d ;
4390 :29 ;
4391 :db ;
4392 :b2 ;
4393 :92 ;
4394 :fb ;
4395 :ff ;
4396 :ff ;
4397 :ff ;
4398 :ff ;
4399 :ff ;
4400 :ff ;
4401 :fb ;
4402 :ff ;
4403 :ff ;
4404 :ff ;
4405 :ff ;
4406 :ff ;
4407 :ff ;
4408 :ff ;
4409 :ff ;
4410 :ff ;
4411 :ff ;
4412 :ff ;
4413 :ff ;
4414 :ff ;
4415 :ff ;
4416 :ff ;
4417 :ff ;
4418 :ff ;
4419 :ff ;
4420 :ff ;
4421 :ff ;
4422 :ff ;
4423 :ff ;
4424 :ff ;
4425 :ff ;
4426 :ff ;
4427 :ff ;
4428 :ff ;
4429 :ff ;
4430 :ff ;
4431 :ff ;
4432 :ff ;
4433 :ff ;
4434 :ff ;
4435 :ff ;
4436 :ff ;
4437 :ff ;
4438 :ff ;
4439 :ff ;
4440 :ff ;
4441 :ff ;
4442 :ff ;
4443 :ff ;
4444 :ff ;
4445 :ff ;
4446 :ff ;
4447 :ff ;
4448 :ff ;
4449 :ff ;
4450 :ff ;
4451 :fb ;
4452 :db ;
4453 :ff ;
4454 :ff ;
4455 :ff ;
4456 :ff ;
4457 :ff ;
4458 :ff ;
4459 :ff ;
4460 :ff ;
4461 :ff ;
4462 :ff ;
4463 :ff ;
4464 :ff ;
4465 :ff ;
4466 :ff ;
4467 :ff ;
4468 :ff ;
4469 :ff ;
4470 :ff ;
4471 :ff ;
4472 :ff ;
4473 :ff ;
4474 :ff ;
4475 :fb ;
4476 :ff ;
4477 :ff ;
4478 :ff ;
4479 :ff ;
4480 :ff ;
4481 :ff ;
4482 :ff ;
4483 :ff ;
4484 :ff ;
4485 :ff ;
4486 :ff ;
4487 :fb ;
4488 :fb ;
4489 :fb ;
4490 :fb ;
4491 :fb ;
4492 :fb ;
4493 :fb ;
4494 :fb ;
4495 :db ;
4496 :ff ;
4497 :ff ;
4498 :ff ;
4499 :ff ;
4500 :ff ;
4501 :ff ;
4502 :ff ;
4503 :ff ;
4504 :ff ;
4505 :ff ;
4506 :ff ;
4507 :ff ;
4508 :ff ;
4509 :ff ;
4510 :ff ;
4511 :ff ;
4512 :ff ;
4513 :ff ;
4514 :ff ;
4515 :ff ;
4516 :ff ;
4517 :ff ;
4518 :ff ;
4519 :ff ;
4520 :ff ;
4521 :ff ;
4522 :ff ;
4523 :ff ;
4524 :ff ;
4525 :ff ;
4526 :ff ;
4527 :ff ;
4528 :ff ;
4529 :ff ;
4530 :ff ;
4531 :ff ;
4532 :ff ;
4533 :ff ;
4534 :ff ;
4535 :ff ;
4536 :ff ;
4537 :ff ;
4538 :ff ;
4539 :ff ;
4540 :ff ;
4541 :ff ;
4542 :ff ;
4543 :ff ;
4544 :ff ;
4545 :ff ;
4546 :ff ;
4547 :ff ;
4548 :ff ;
4549 :ff ;
4550 :d6 ;
4551 :db ;
4552 :ff ;
4553 :ff ;
4554 :ff ;
4555 :ff ;
4556 :ff ;
4557 :ff ;
4558 :ff ;
4559 :ff ;
4560 :ff ;
4561 :ff ;
4562 :ff ;
4563 :ff ;
4564 :ff ;
4565 :ff ;
4566 :ff ;
4567 :ff ;
4568 :da ;
4569 :ff ;
4570 :ff ;
4571 :ff ;
4572 :ff ;
4573 :ff ;
4574 :ff ;
4575 :fb ;
4576 :ff ;
4577 :ff ;
4578 :ff ;
4579 :ff ;
4580 :ff ;
4581 :ff ;
4582 :ff ;
4583 :ff ;
4584 :ff ;
4585 :ff ;
4586 :ff ;
4587 :fb ;
4588 :fb ;
4589 :fb ;
4590 :fb ;
4591 :fb ;
4592 :fb ;
4593 :fb ;
4594 :fb ;
4595 :fb ;
4596 :db ;
4597 :ff ;
4598 :ff ;
4599 :ff ;
4600 :fb ;
4601 :ff ;
4602 :ff ;
4603 :ff ;
4604 :ff ;
4605 :ff ;
4606 :ff ;
4607 :ff ;
4608 :ff ;
4609 :ff ;
4610 :ff ;
4611 :ff ;
4612 :ff ;
4613 :ff ;
4614 :ff ;
4615 :ff ;
4616 :ff ;
4617 :ff ;
4618 :ff ;
4619 :ff ;
4620 :ff ;
4621 :ff ;
4622 :ff ;
4623 :ff ;
4624 :ff ;
4625 :ff ;
4626 :ff ;
4627 :ff ;
4628 :ff ;
4629 :ff ;
4630 :ff ;
4631 :ff ;
4632 :ff ;
4633 :ff ;
4634 :ff ;
4635 :ff ;
4636 :ff ;
4637 :ff ;
4638 :ff ;
4639 :ff ;
4640 :ff ;
4641 :ff ;
4642 :ff ;
4643 :ff ;
4644 :ff ;
4645 :ff ;
4646 :ff ;
4647 :ff ;
4648 :d6 ;
4649 :d6 ;
4650 :fb ;
4651 :ff ;
4652 :ff ;
4653 :ff ;
4654 :ff ;
4655 :ff ;
4656 :ff ;
4657 :ff ;
4658 :ff ;
4659 :ff ;
4660 :ff ;
4661 :ff ;
4662 :ff ;
4663 :ff ;
4664 :ff ;
4665 :ff ;
4666 :ff ;
4667 :ff ;
4668 :db ;
4669 :ff ;
4670 :ff ;
4671 :ff ;
4672 :ff ;
4673 :ff ;
4674 :ff ;
4675 :db ;
4676 :ff ;
4677 :ff ;
4678 :ff ;
4679 :ff ;
4680 :ff ;
4681 :ff ;
4682 :ff ;
4683 :ff ;
4684 :ff ;
4685 :ff ;
4686 :ff ;
4687 :fb ;
4688 :fb ;
4689 :fb ;
4690 :fb ;
4691 :fb ;
4692 :fb ;
4693 :fb ;
4694 :fb ;
4695 :fb ;
4696 :fb ;
4697 :fb ;
4698 :ff ;
4699 :ff ;
4700 :ff ;
4701 :ff ;
4702 :ff ;
4703 :ff ;
4704 :ff ;
4705 :ff ;
4706 :ff ;
4707 :ff ;
4708 :ff ;
4709 :ff ;
4710 :ff ;
4711 :ff ;
4712 :ff ;
4713 :ff ;
4714 :ff ;
4715 :ff ;
4716 :ff ;
4717 :ff ;
4718 :ff ;
4719 :ff ;
4720 :ff ;
4721 :ff ;
4722 :ff ;
4723 :ff ;
4724 :ff ;
4725 :ff ;
4726 :ff ;
4727 :ff ;
4728 :ff ;
4729 :ff ;
4730 :ff ;
4731 :ff ;
4732 :ff ;
4733 :ff ;
4734 :ff ;
4735 :ff ;
4736 :ff ;
4737 :ff ;
4738 :ff ;
4739 :fb ;
4740 :ff ;
4741 :ff ;
4742 :ff ;
4743 :d6 ;
4744 :8d ;
4745 :b6 ;
4746 :da ;
4747 :d6 ;
4748 :fb ;
4749 :fb ;
4750 :da ;
4751 :ff ;
4752 :ff ;
4753 :ff ;
4754 :ff ;
4755 :ff ;
4756 :ff ;
4757 :ff ;
4758 :ff ;
4759 :ff ;
4760 :ff ;
4761 :ff ;
4762 :ff ;
4763 :ff ;
4764 :ff ;
4765 :ff ;
4766 :ff ;
4767 :ff ;
4768 :ff ;
4769 :ff ;
4770 :ff ;
4771 :ff ;
4772 :ff ;
4773 :ff ;
4774 :ff ;
4775 :ff ;
4776 :ff ;
4777 :ff ;
4778 :ff ;
4779 :ff ;
4780 :ff ;
4781 :ff ;
4782 :ff ;
4783 :ff ;
4784 :ff ;
4785 :ff ;
4786 :ff ;
4787 :fb ;
4788 :fb ;
4789 :fb ;
4790 :fb ;
4791 :fb ;
4792 :fb ;
4793 :fb ;
4794 :fb ;
4795 :fb ;
4796 :fb ;
4797 :d6 ;
4798 :db ;
4799 :b6 ;
4800 :fb ;
4801 :ff ;
4802 :ff ;
4803 :ff ;
4804 :ff ;
4805 :ff ;
4806 :ff ;
4807 :ff ;
4808 :ff ;
4809 :ff ;
4810 :ff ;
4811 :ff ;
4812 :ff ;
4813 :ff ;
4814 :ff ;
4815 :ff ;
4816 :ff ;
4817 :ff ;
4818 :ff ;
4819 :ff ;
4820 :ff ;
4821 :ff ;
4822 :ff ;
4823 :ff ;
4824 :ff ;
4825 :ff ;
4826 :ff ;
4827 :ff ;
4828 :ff ;
4829 :ff ;
4830 :ff ;
4831 :ff ;
4832 :ff ;
4833 :ff ;
4834 :ff ;
4835 :ff ;
4836 :ff ;
4837 :ff ;
4838 :ff ;
4839 :ff ;
4840 :ff ;
4841 :ff ;
4842 :ff ;
4843 :ff ;
4844 :ff ;
4845 :fb ;
4846 :d6 ;
4847 :b6 ;
4848 :b6 ;
4849 :db ;
4850 :ff ;
4851 :db ;
4852 :ff ;
4853 :ff ;
4854 :ff ;
4855 :ff ;
4856 :ff ;
4857 :ff ;
4858 :ff ;
4859 :ff ;
4860 :ff ;
4861 :ff ;
4862 :ff ;
4863 :ff ;
4864 :ff ;
4865 :ff ;
4866 :ff ;
4867 :ff ;
4868 :db ;
4869 :ff ;
4870 :ff ;
4871 :ff ;
4872 :ff ;
4873 :ff ;
4874 :ff ;
4875 :ff ;
4876 :da ;
4877 :ff ;
4878 :ff ;
4879 :ff ;
4880 :ff ;
4881 :ff ;
4882 :ff ;
4883 :ff ;
4884 :ff ;
4885 :ff ;
4886 :ff ;
4887 :fb ;
4888 :fb ;
4889 :fb ;
4890 :fb ;
4891 :fb ;
4892 :fb ;
4893 :fb ;
4894 :fb ;
4895 :fb ;
4896 :fb ;
4897 :fb ;
4898 :d6 ;
4899 :d6 ;
4900 :ff ;
4901 :ff ;
4902 :ff ;
4903 :ff ;
4904 :ff ;
4905 :ff ;
4906 :ff ;
4907 :ff ;
4908 :ff ;
4909 :ff ;
4910 :ff ;
4911 :ff ;
4912 :ff ;
4913 :ff ;
4914 :ff ;
4915 :ff ;
4916 :ff ;
4917 :ff ;
4918 :ff ;
4919 :ff ;
4920 :ff ;
4921 :ff ;
4922 :ff ;
4923 :ff ;
4924 :ff ;
4925 :ff ;
4926 :ff ;
4927 :ff ;
4928 :ff ;
4929 :ff ;
4930 :ff ;
4931 :ff ;
4932 :ff ;
4933 :ff ;
4934 :ff ;
4935 :ff ;
4936 :ff ;
4937 :ff ;
4938 :ff ;
4939 :ff ;
4940 :ff ;
4941 :ff ;
4942 :ff ;
4943 :ff ;
4944 :ff ;
4945 :ff ;
4946 :ff ;
4947 :ff ;
4948 :ff ;
4949 :ff ;
4950 :db ;
4951 :ff ;
4952 :ff ;
4953 :ff ;
4954 :ff ;
4955 :ff ;
4956 :ff ;
4957 :ff ;
4958 :ff ;
4959 :ff ;
4960 :ff ;
4961 :ff ;
4962 :ff ;
4963 :ff ;
4964 :ff ;
4965 :ff ;
4966 :ff ;
4967 :ff ;
4968 :d6 ;
4969 :fb ;
4970 :ff ;
4971 :ff ;
4972 :ff ;
4973 :db ;
4974 :ff ;
4975 :ff ;
4976 :da ;
4977 :ff ;
4978 :ff ;
4979 :ff ;
4980 :ff ;
4981 :ff ;
4982 :ff ;
4983 :ff ;
4984 :ff ;
4985 :ff ;
4986 :ff ;
4987 :fb ;
4988 :fb ;
4989 :fb ;
4990 :fb ;
4991 :fb ;
4992 :fb ;
4993 :fb ;
4994 :fb ;
4995 :fb ;
4996 :fb ;
4997 :fb ;
4998 :fb ;
4999 :d6 ;
5000 :ff ;
5001 :ff ;
5002 :ff ;
5003 :ff ;
5004 :ff ;
5005 :ff ;
5006 :ff ;
5007 :ff ;
5008 :ff ;
5009 :ff ;
5010 :ff ;
5011 :ff ;
5012 :ff ;
5013 :ff ;
5014 :ff ;
5015 :ff ;
5016 :ff ;
5017 :ff ;
5018 :ff ;
5019 :ff ;
5020 :ff ;
5021 :ff ;
5022 :ff ;
5023 :ff ;
5024 :ff ;
5025 :ff ;
5026 :ff ;
5027 :ff ;
5028 :ff ;
5029 :ff ;
5030 :ff ;
5031 :ff ;
5032 :ff ;
5033 :ff ;
5034 :ff ;
5035 :ff ;
5036 :ff ;
5037 :ff ;
5038 :ff ;
5039 :ff ;
5040 :ff ;
5041 :ff ;
5042 :ff ;
5043 :ff ;
5044 :ff ;
5045 :ff ;
5046 :ff ;
5047 :ff ;
5048 :fb ;
5049 :da ;
5050 :ff ;
5051 :fb ;
5052 :ff ;
5053 :ff ;
5054 :ff ;
5055 :ff ;
5056 :ff ;
5057 :ff ;
5058 :ff ;
5059 :ff ;
5060 :ff ;
5061 :ff ;
5062 :ff ;
5063 :ff ;
5064 :ff ;
5065 :ff ;
5066 :ff ;
5067 :ff ;
5068 :fb ;
5069 :ff ;
5070 :ff ;
5071 :ff ;
5072 :ff ;
5073 :ff ;
5074 :ff ;
5075 :ff ;
5076 :ff ;
5077 :ff ;
5078 :ff ;
5079 :ff ;
5080 :ff ;
5081 :ff ;
5082 :ff ;
5083 :ff ;
5084 :ff ;
5085 :ff ;
5086 :ff ;
5087 :fb ;
5088 :fb ;
5089 :fb ;
5090 :fb ;
5091 :fb ;
5092 :fb ;
5093 :fb ;
5094 :fb ;
5095 :fb ;
5096 :fb ;
5097 :fb ;
5098 :fb ;
5099 :b2 ;
5100 :ff ;
5101 :ff ;
5102 :ff ;
5103 :ff ;
5104 :ff ;
5105 :ff ;
5106 :ff ;
5107 :ff ;
5108 :ff ;
5109 :ff ;
5110 :ff ;
5111 :ff ;
5112 :ff ;
5113 :ff ;
5114 :ff ;
5115 :ff ;
5116 :ff ;
5117 :ff ;
5118 :ff ;
5119 :ff ;
5120 :ff ;
5121 :ff ;
5122 :ff ;
5123 :ff ;
5124 :ff ;
5125 :ff ;
5126 :ff ;
5127 :ff ;
5128 :ff ;
5129 :ff ;
5130 :ff ;
5131 :ff ;
5132 :ff ;
5133 :ff ;
5134 :ff ;
5135 :ff ;
5136 :ff ;
5137 :ff ;
5138 :ff ;
5139 :ff ;
5140 :ff ;
5141 :ff ;
5142 :ff ;
5143 :ff ;
5144 :ff ;
5145 :ff ;
5146 :ff ;
5147 :b6 ;
5148 :da ;
5149 :d6 ;
5150 :da ;
5151 :db ;
5152 :ff ;
5153 :ff ;
5154 :ff ;
5155 :ff ;
5156 :ff ;
5157 :ff ;
5158 :ff ;
5159 :ff ;
5160 :ff ;
5161 :ff ;
5162 :ff ;
5163 :ff ;
5164 :ff ;
5165 :ff ;
5166 :ff ;
5167 :ff ;
5168 :ff ;
5169 :ff ;
5170 :ff ;
5171 :ff ;
5172 :ff ;
5173 :ff ;
5174 :ff ;
5175 :db ;
5176 :ff ;
5177 :ff ;
5178 :ff ;
5179 :ff ;
5180 :ff ;
5181 :ff ;
5182 :ff ;
5183 :ff ;
5184 :ff ;
5185 :ff ;
5186 :ff ;
5187 :fb ;
5188 :fb ;
5189 :fb ;
5190 :fb ;
5191 :fb ;
5192 :fb ;
5193 :fb ;
5194 :fb ;
5195 :fb ;
5196 :fb ;
5197 :fb ;
5198 :d6 ;
5199 :db ;
5200 :ff ;
5201 :ff ;
5202 :ff ;
5203 :ff ;
5204 :ff ;
5205 :ff ;
5206 :ff ;
5207 :ff ;
5208 :ff ;
5209 :ff ;
5210 :ff ;
5211 :ff ;
5212 :ff ;
5213 :ff ;
5214 :ff ;
5215 :ff ;
5216 :ff ;
5217 :ff ;
5218 :ff ;
5219 :ff ;
5220 :ff ;
5221 :ff ;
5222 :ff ;
5223 :ff ;
5224 :ff ;
5225 :ff ;
5226 :ff ;
5227 :ff ;
5228 :ff ;
5229 :ff ;
5230 :ff ;
5231 :ff ;
5232 :ff ;
5233 :ff ;
5234 :ff ;
5235 :ff ;
5236 :ff ;
5237 :ff ;
5238 :ff ;
5239 :ff ;
5240 :ff ;
5241 :ff ;
5242 :ff ;
5243 :ff ;
5244 :ff ;
5245 :ff ;
5246 :da ;
5247 :da ;
5248 :da ;
5249 :ff ;
5250 :ff ;
5251 :fb ;
5252 :ff ;
5253 :ff ;
5254 :ff ;
5255 :ff ;
5256 :ff ;
5257 :ff ;
5258 :ff ;
5259 :ff ;
5260 :ff ;
5261 :ff ;
5262 :ff ;
5263 :ff ;
5264 :ff ;
5265 :ff ;
5266 :ff ;
5267 :ff ;
5268 :ff ;
5269 :ff ;
5270 :ff ;
5271 :ff ;
5272 :ff ;
5273 :ff ;
5274 :ff ;
5275 :db ;
5276 :ff ;
5277 :ff ;
5278 :ff ;
5279 :ff ;
5280 :ff ;
5281 :ff ;
5282 :ff ;
5283 :ff ;
5284 :ff ;
5285 :ff ;
5286 :fb ;
5287 :fb ;
5288 :fb ;
5289 :fb ;
5290 :fb ;
5291 :fb ;
5292 :fb ;
5293 :fb ;
5294 :fb ;
5295 :fb ;
5296 :fb ;
5297 :fb ;
5298 :fb ;
5299 :ff ;
5300 :ff ;
5301 :ff ;
5302 :ff ;
5303 :ff ;
5304 :ff ;
5305 :ff ;
5306 :ff ;
5307 :ff ;
5308 :ff ;
5309 :ff ;
5310 :ff ;
5311 :ff ;
5312 :ff ;
5313 :ff ;
5314 :ff ;
5315 :ff ;
5316 :ff ;
5317 :ff ;
5318 :ff ;
5319 :ff ;
5320 :ff ;
5321 :ff ;
5322 :ff ;
5323 :ff ;
5324 :ff ;
5325 :ff ;
5326 :ff ;
5327 :ff ;
5328 :ff ;
5329 :ff ;
5330 :ff ;
5331 :ff ;
5332 :ff ;
5333 :ff ;
5334 :ff ;
5335 :ff ;
5336 :ff ;
5337 :ff ;
5338 :ff ;
5339 :ff ;
5340 :ff ;
5341 :ff ;
5342 :ff ;
5343 :ff ;
5344 :ff ;
5345 :ff ;
5346 :ff ;
5347 :ff ;
5348 :ff ;
5349 :ff ;
5350 :db ;
5351 :ff ;
5352 :ff ;
5353 :ff ;
5354 :ff ;
5355 :ff ;
5356 :ff ;
5357 :ff ;
5358 :ff ;
5359 :ff ;
5360 :ff ;
5361 :ff ;
5362 :ff ;
5363 :ff ;
5364 :ff ;
5365 :ff ;
5366 :ff ;
5367 :ff ;
5368 :d6 ;
5369 :ff ;
5370 :fb ;
5371 :ff ;
5372 :ff ;
5373 :ff ;
5374 :ff ;
5375 :fb ;
5376 :ff ;
5377 :ff ;
5378 :ff ;
5379 :ff ;
5380 :ff ;
5381 :ff ;
5382 :ff ;
5383 :ff ;
5384 :ff ;
5385 :ff ;
5386 :fb ;
5387 :fb ;
5388 :fb ;
5389 :fb ;
5390 :fb ;
5391 :fb ;
5392 :fb ;
5393 :fb ;
5394 :fb ;
5395 :fb ;
5396 :fb ;
5397 :d6 ;
5398 :ff ;
5399 :ff ;
5400 :ff ;
5401 :ff ;
5402 :ff ;
5403 :ff ;
5404 :ff ;
5405 :ff ;
5406 :ff ;
5407 :ff ;
5408 :ff ;
5409 :ff ;
5410 :ff ;
5411 :ff ;
5412 :ff ;
5413 :ff ;
5414 :ff ;
5415 :ff ;
5416 :ff ;
5417 :ff ;
5418 :ff ;
5419 :ff ;
5420 :ff ;
5421 :ff ;
5422 :ff ;
5423 :ff ;
5424 :ff ;
5425 :ff ;
5426 :ff ;
5427 :ff ;
5428 :ff ;
5429 :ff ;
5430 :ff ;
5431 :ff ;
5432 :ff ;
5433 :ff ;
5434 :ff ;
5435 :ff ;
5436 :ff ;
5437 :ff ;
5438 :ff ;
5439 :ff ;
5440 :ff ;
5441 :ff ;
5442 :ff ;
5443 :ff ;
5444 :ff ;
5445 :ff ;
5446 :ff ;
5447 :ff ;
5448 :ff ;
5449 :db ;
5450 :ff ;
5451 :ff ;
5452 :ff ;
5453 :ff ;
5454 :ff ;
5455 :ff ;
5456 :ff ;
5457 :ff ;
5458 :ff ;
5459 :ff ;
5460 :ff ;
5461 :ff ;
5462 :ff ;
5463 :ff ;
5464 :ff ;
5465 :ff ;
5466 :ff ;
5467 :ff ;
5468 :db ;
5469 :ff ;
5470 :ff ;
5471 :ff ;
5472 :db ;
5473 :ff ;
5474 :da ;
5475 :ff ;
5476 :ff ;
5477 :ff ;
5478 :ff ;
5479 :ff ;
5480 :ff ;
5481 :ff ;
5482 :ff ;
5483 :ff ;
5484 :ff ;
5485 :ff ;
5486 :fb ;
5487 :fb ;
5488 :fb ;
5489 :fb ;
5490 :fb ;
5491 :fb ;
5492 :fb ;
5493 :fb ;
5494 :fb ;
5495 :fb ;
5496 :b6 ;
5497 :ff ;
5498 :ff ;
5499 :ff ;
5500 :db ;
5501 :ff ;
5502 :ff ;
5503 :ff ;
5504 :ff ;
5505 :ff ;
5506 :ff ;
5507 :ff ;
5508 :ff ;
5509 :ff ;
5510 :ff ;
5511 :ff ;
5512 :ff ;
5513 :ff ;
5514 :ff ;
5515 :ff ;
5516 :ff ;
5517 :ff ;
5518 :ff ;
5519 :ff ;
5520 :ff ;
5521 :ff ;
5522 :ff ;
5523 :ff ;
5524 :ff ;
5525 :ff ;
5526 :ff ;
5527 :ff ;
5528 :ff ;
5529 :ff ;
5530 :ff ;
5531 :ff ;
5532 :ff ;
5533 :ff ;
5534 :ff ;
5535 :ff ;
5536 :ff ;
5537 :ff ;
5538 :ff ;
5539 :ff ;
5540 :ff ;
5541 :ff ;
5542 :ff ;
5543 :ff ;
5544 :ff ;
5545 :ff ;
5546 :ff ;
5547 :db ;
5548 :ff ;
5549 :ff ;
5550 :ff ;
5551 :ff ;
5552 :ff ;
5553 :ff ;
5554 :ff ;
5555 :ff ;
5556 :ff ;
5557 :ff ;
5558 :ff ;
5559 :ff ;
5560 :ff ;
5561 :ff ;
5562 :ff ;
5563 :ff ;
5564 :ff ;
5565 :ff ;
5566 :ff ;
5567 :ff ;
5568 :ff ;
5569 :ff ;
5570 :ff ;
5571 :ff ;
5572 :ff ;
5573 :ff ;
5574 :ff ;
5575 :ff ;
5576 :ff ;
5577 :ff ;
5578 :ff ;
5579 :ff ;
5580 :ff ;
5581 :ff ;
5582 :ff ;
5583 :ff ;
5584 :ff ;
5585 :ff ;
5586 :fb ;
5587 :fb ;
5588 :fb ;
5589 :fb ;
5590 :fb ;
5591 :fb ;
5592 :fb ;
5593 :fb ;
5594 :fb ;
5595 :d6 ;
5596 :db ;
5597 :ff ;
5598 :ff ;
5599 :ff ;
5600 :d6 ;
5601 :ff ;
5602 :ff ;
5603 :ff ;
5604 :ff ;
5605 :ff ;
5606 :ff ;
5607 :ff ;
5608 :ff ;
5609 :ff ;
5610 :ff ;
5611 :ff ;
5612 :ff ;
5613 :ff ;
5614 :ff ;
5615 :ff ;
5616 :ff ;
5617 :ff ;
5618 :ff ;
5619 :ff ;
5620 :ff ;
5621 :ff ;
5622 :ff ;
5623 :ff ;
5624 :ff ;
5625 :ff ;
5626 :ff ;
5627 :ff ;
5628 :ff ;
5629 :ff ;
5630 :ff ;
5631 :ff ;
5632 :ff ;
5633 :ff ;
5634 :ff ;
5635 :ff ;
5636 :ff ;
5637 :ff ;
5638 :ff ;
5639 :ff ;
5640 :ff ;
5641 :ff ;
5642 :ff ;
5643 :ff ;
5644 :ff ;
5645 :da ;
5646 :db ;
5647 :ff ;
5648 :ff ;
5649 :ff ;
5650 :ff ;
5651 :ff ;
5652 :ff ;
5653 :ff ;
5654 :ff ;
5655 :ff ;
5656 :ff ;
5657 :ff ;
5658 :ff ;
5659 :ff ;
5660 :ff ;
5661 :ff ;
5662 :ff ;
5663 :ff ;
5664 :ff ;
5665 :ff ;
5666 :ff ;
5667 :ff ;
5668 :ff ;
5669 :ff ;
5670 :ff ;
5671 :ff ;
5672 :db ;
5673 :ff ;
5674 :ff ;
5675 :ff ;
5676 :ff ;
5677 :ff ;
5678 :ff ;
5679 :ff ;
5680 :ff ;
5681 :ff ;
5682 :ff ;
5683 :ff ;
5684 :ff ;
5685 :ff ;
5686 :fb ;
5687 :fb ;
5688 :fb ;
5689 :fb ;
5690 :fb ;
5691 :fb ;
5692 :fb ;
5693 :fb ;
5694 :fb ;
5695 :db ;
5696 :ff ;
5697 :ff ;
5698 :ff ;
5699 :ff ;
5700 :92 ;
5701 :ff ;
5702 :ff ;
5703 :ff ;
5704 :ff ;
5705 :ff ;
5706 :ff ;
5707 :ff ;
5708 :ff ;
5709 :ff ;
5710 :ff ;
5711 :ff ;
5712 :ff ;
5713 :ff ;
5714 :ff ;
5715 :ff ;
5716 :ff ;
5717 :ff ;
5718 :ff ;
5719 :ff ;
5720 :ff ;
5721 :ff ;
5722 :ff ;
5723 :ff ;
5724 :fb ;
5725 :d6 ;
5726 :fb ;
5727 :ff ;
5728 :ff ;
5729 :ff ;
5730 :ff ;
5731 :ff ;
5732 :ff ;
5733 :ff ;
5734 :ff ;
5735 :ff ;
5736 :ff ;
5737 :ff ;
5738 :ff ;
5739 :ff ;
5740 :da ;
5741 :b6 ;
5742 :d6 ;
5743 :fb ;
5744 :db ;
5745 :ff ;
5746 :ff ;
5747 :ff ;
5748 :ff ;
5749 :ff ;
5750 :ff ;
5751 :ff ;
5752 :ff ;
5753 :ff ;
5754 :ff ;
5755 :ff ;
5756 :ff ;
5757 :ff ;
5758 :ff ;
5759 :ff ;
5760 :ff ;
5761 :ff ;
5762 :ff ;
5763 :ff ;
5764 :ff ;
5765 :ff ;
5766 :ff ;
5767 :da ;
5768 :ff ;
5769 :ff ;
5770 :ff ;
5771 :fb ;
5772 :ff ;
5773 :ff ;
5774 :ff ;
5775 :ff ;
5776 :ff ;
5777 :ff ;
5778 :ff ;
5779 :ff ;
5780 :ff ;
5781 :ff ;
5782 :ff ;
5783 :ff ;
5784 :ff ;
5785 :fb ;
5786 :fb ;
5787 :fb ;
5788 :fb ;
5789 :fb ;
5790 :fb ;
5791 :fb ;
5792 :fb ;
5793 :fb ;
5794 :d6 ;
5795 :ff ;
5796 :ff ;
5797 :ff ;
5798 :ff ;
5799 :ff ;
5800 :6e ;
5801 :ff ;
5802 :ff ;
5803 :ff ;
5804 :ff ;
5805 :ff ;
5806 :ff ;
5807 :ff ;
5808 :ff ;
5809 :ff ;
5810 :ff ;
5811 :ff ;
5812 :ff ;
5813 :ff ;
5814 :ff ;
5815 :ff ;
5816 :ff ;
5817 :ff ;
5818 :ff ;
5819 :ff ;
5820 :ff ;
5821 :ff ;
5822 :ff ;
5823 :ff ;
5824 :ff ;
5825 :ff ;
5826 :fb ;
5827 :b6 ;
5828 :b6 ;
5829 :b6 ;
5830 :b6 ;
5831 :b6 ;
5832 :91 ;
5833 :92 ;
5834 :b6 ;
5835 :b6 ;
5836 :b6 ;
5837 :b6 ;
5838 :b2 ;
5839 :d6 ;
5840 :fb ;
5841 :ff ;
5842 :ff ;
5843 :ff ;
5844 :ff ;
5845 :ff ;
5846 :ff ;
5847 :fb ;
5848 :ff ;
5849 :fb ;
5850 :ff ;
5851 :ff ;
5852 :ff ;
5853 :ff ;
5854 :ff ;
5855 :ff ;
5856 :ff ;
5857 :ff ;
5858 :ff ;
5859 :ff ;
5860 :ff ;
5861 :ff ;
5862 :ff ;
5863 :ff ;
5864 :ff ;
5865 :ff ;
5866 :ff ;
5867 :da ;
5868 :ff ;
5869 :db ;
5870 :ff ;
5871 :ff ;
5872 :ff ;
5873 :ff ;
5874 :ff ;
5875 :ff ;
5876 :ff ;
5877 :ff ;
5878 :ff ;
5879 :ff ;
5880 :ff ;
5881 :ff ;
5882 :ff ;
5883 :ff ;
5884 :ff ;
5885 :fb ;
5886 :fb ;
5887 :fb ;
5888 :fb ;
5889 :fb ;
5890 :fb ;
5891 :fb ;
5892 :fb ;
5893 :d6 ;
5894 :fb ;
5895 :ff ;
5896 :ff ;
5897 :ff ;
5898 :ff ;
5899 :ff ;
5900 :92 ;
5901 :fb ;
5902 :ff ;
5903 :ff ;
5904 :ff ;
5905 :ff ;
5906 :ff ;
5907 :ff ;
5908 :ff ;
5909 :ff ;
5910 :ff ;
5911 :ff ;
5912 :ff ;
5913 :ff ;
5914 :ff ;
5915 :ff ;
5916 :ff ;
5917 :ff ;
5918 :ff ;
5919 :ff ;
5920 :ff ;
5921 :ff ;
5922 :ff ;
5923 :ff ;
5924 :ff ;
5925 :ff ;
5926 :ff ;
5927 :ff ;
5928 :ff ;
5929 :ff ;
5930 :ff ;
5931 :db ;
5932 :da ;
5933 :91 ;
5934 :d6 ;
5935 :fb ;
5936 :fb ;
5937 :ff ;
5938 :b6 ;
5939 :ff ;
5940 :ff ;
5941 :ff ;
5942 :ff ;
5943 :ff ;
5944 :ff ;
5945 :ff ;
5946 :d6 ;
5947 :d6 ;
5948 :8d ;
5949 :d6 ;
5950 :ff ;
5951 :ff ;
5952 :fb ;
5953 :da ;
5954 :fb ;
5955 :ff ;
5956 :ff ;
5957 :ff ;
5958 :ff ;
5959 :ff ;
5960 :ff ;
5961 :ff ;
5962 :ff ;
5963 :ff ;
5964 :ff ;
5965 :ff ;
5966 :ff ;
5967 :ff ;
5968 :ff ;
5969 :ff ;
5970 :ff ;
5971 :ff ;
5972 :ff ;
5973 :ff ;
5974 :ff ;
5975 :ff ;
5976 :ff ;
5977 :ff ;
5978 :ff ;
5979 :ff ;
5980 :ff ;
5981 :ff ;
5982 :ff ;
5983 :ff ;
5984 :fb ;
5985 :d6 ;
5986 :d6 ;
5987 :b2 ;
5988 :91 ;
5989 :69 ;
5990 :b6 ;
5991 :b2 ;
5992 :da ;
5993 :db ;
5994 :ff ;
5995 :ff ;
5996 :ff ;
5997 :ff ;
5998 :ff ;
5999 :ff ;
6000 :96 ;
6001 :b6 ;
6002 :ff ;
6003 :ff ;
6004 :ff ;
6005 :ff ;
6006 :ff ;
6007 :ff ;
6008 :ff ;
6009 :ff ;
6010 :ff ;
6011 :ff ;
6012 :ff ;
6013 :ff ;
6014 :ff ;
6015 :ff ;
6016 :ff ;
6017 :ff ;
6018 :ff ;
6019 :ff ;
6020 :ff ;
6021 :ff ;
6022 :ff ;
6023 :ff ;
6024 :ff ;
6025 :ff ;
6026 :ff ;
6027 :ff ;
6028 :ff ;
6029 :ff ;
6030 :ff ;
6031 :ff ;
6032 :ff ;
6033 :fb ;
6034 :d6 ;
6035 :91 ;
6036 :fb ;
6037 :da ;
6038 :92 ;
6039 :fb ;
6040 :ff ;
6041 :ff ;
6042 :db ;
6043 :ff ;
6044 :ff ;
6045 :b2 ;
6046 :8d ;
6047 :69 ;
6048 :db ;
6049 :b6 ;
6050 :8d ;
6051 :da ;
6052 :b1 ;
6053 :b1 ;
6054 :b1 ;
6055 :d6 ;
6056 :fa ;
6057 :ff ;
6058 :ff ;
6059 :ff ;
6060 :ff ;
6061 :ff ;
6062 :ff ;
6063 :ff ;
6064 :ff ;
6065 :ff ;
6066 :ff ;
6067 :ff ;
6068 :ff ;
6069 :ff ;
6070 :ff ;
6071 :ff ;
6072 :ff ;
6073 :ff ;
6074 :ff ;
6075 :ff ;
6076 :ff ;
6077 :ff ;
6078 :ff ;
6079 :ff ;
6080 :ff ;
6081 :ff ;
6082 :ff ;
6083 :ff ;
6084 :92 ;
6085 :fb ;
6086 :fb ;
6087 :fa ;
6088 :fa ;
6089 :91 ;
6090 :db ;
6091 :d6 ;
6092 :d6 ;
6093 :ff ;
6094 :ff ;
6095 :ff ;
6096 :ff ;
6097 :ff ;
6098 :ff ;
6099 :ff ;
6100 :bb ;
6101 :92 ;
6102 :ff ;
6103 :ff ;
6104 :ff ;
6105 :ff ;
6106 :ff ;
6107 :ff ;
6108 :ff ;
6109 :ff ;
6110 :ff ;
6111 :ff ;
6112 :ff ;
6113 :ff ;
6114 :ff ;
6115 :ff ;
6116 :ff ;
6117 :ff ;
6118 :ff ;
6119 :ff ;
6120 :ff ;
6121 :ff ;
6122 :ff ;
6123 :ff ;
6124 :ff ;
6125 :ff ;
6126 :ff ;
6127 :ff ;
6128 :ff ;
6129 :ff ;
6130 :ff ;
6131 :ff ;
6132 :ff ;
6133 :ff ;
6134 :ff ;
6135 :da ;
6136 :8d ;
6137 :b2 ;
6138 :91 ;
6139 :fb ;
6140 :ff ;
6141 :ff ;
6142 :d6 ;
6143 :ff ;
6144 :fb ;
6145 :69 ;
6146 :69 ;
6147 :b2 ;
6148 :b6 ;
6149 :8d ;
6150 :91 ;
6151 :ff ;
6152 :b1 ;
6153 :b1 ;
6154 :b1 ;
6155 :fa ;
6156 :fa ;
6157 :fa ;
6158 :fe ;
6159 :ff ;
6160 :ff ;
6161 :ff ;
6162 :ff ;
6163 :ff ;
6164 :ff ;
6165 :ff ;
6166 :ff ;
6167 :ff ;
6168 :ff ;
6169 :ff ;
6170 :ff ;
6171 :ff ;
6172 :ff ;
6173 :ff ;
6174 :ff ;
6175 :ff ;
6176 :ff ;
6177 :ff ;
6178 :ff ;
6179 :ff ;
6180 :ff ;
6181 :ff ;
6182 :ff ;
6183 :da ;
6184 :da ;
6185 :fb ;
6186 :fb ;
6187 :fa ;
6188 :b2 ;
6189 :49 ;
6190 :b7 ;
6191 :db ;
6192 :ff ;
6193 :ff ;
6194 :ff ;
6195 :ff ;
6196 :ff ;
6197 :ff ;
6198 :ff ;
6199 :ff ;
6200 :bb ;
6201 :92 ;
6202 :db ;
6203 :ff ;
6204 :ff ;
6205 :ff ;
6206 :ff ;
6207 :ff ;
6208 :ff ;
6209 :ff ;
6210 :ff ;
6211 :ff ;
6212 :ff ;
6213 :ff ;
6214 :ff ;
6215 :ff ;
6216 :ff ;
6217 :ff ;
6218 :ff ;
6219 :ff ;
6220 :ff ;
6221 :ff ;
6222 :ff ;
6223 :ff ;
6224 :ff ;
6225 :ff ;
6226 :ff ;
6227 :ff ;
6228 :ff ;
6229 :ff ;
6230 :ff ;
6231 :ff ;
6232 :ff ;
6233 :ff ;
6234 :ff ;
6235 :ff ;
6236 :ff ;
6237 :91 ;
6238 :8d ;
6239 :fb ;
6240 :fb ;
6241 :ff ;
6242 :b2 ;
6243 :ff ;
6244 :92 ;
6245 :69 ;
6246 :49 ;
6247 :db ;
6248 :6d ;
6249 :8d ;
6250 :8d ;
6251 :d6 ;
6252 :b2 ;
6253 :ad ;
6254 :b1 ;
6255 :fa ;
6256 :fa ;
6257 :fa ;
6258 :fa ;
6259 :fa ;
6260 :ff ;
6261 :ff ;
6262 :ff ;
6263 :ff ;
6264 :ff ;
6265 :ff ;
6266 :ff ;
6267 :ff ;
6268 :ff ;
6269 :ff ;
6270 :ff ;
6271 :ff ;
6272 :ff ;
6273 :ff ;
6274 :ff ;
6275 :ff ;
6276 :ff ;
6277 :ff ;
6278 :ff ;
6279 :ff ;
6280 :ff ;
6281 :ff ;
6282 :ff ;
6283 :d6 ;
6284 :fb ;
6285 :fb ;
6286 :92 ;
6287 :49 ;
6288 :29 ;
6289 :49 ;
6290 :49 ;
6291 :db ;
6292 :ff ;
6293 :ff ;
6294 :ff ;
6295 :ff ;
6296 :ff ;
6297 :ff ;
6298 :ff ;
6299 :ff ;
6300 :bb ;
6301 :bb ;
6302 :92 ;
6303 :ff ;
6304 :ff ;
6305 :ff ;
6306 :ff ;
6307 :ff ;
6308 :ff ;
6309 :ff ;
6310 :ff ;
6311 :ff ;
6312 :ff ;
6313 :ff ;
6314 :ff ;
6315 :ff ;
6316 :ff ;
6317 :ff ;
6318 :ff ;
6319 :ff ;
6320 :ff ;
6321 :ff ;
6322 :ff ;
6323 :ff ;
6324 :ff ;
6325 :ff ;
6326 :ff ;
6327 :ff ;
6328 :ff ;
6329 :ff ;
6330 :ff ;
6331 :ff ;
6332 :ff ;
6333 :ff ;
6334 :ff ;
6335 :ff ;
6336 :ff ;
6337 :ff ;
6338 :91 ;
6339 :b2 ;
6340 :fb ;
6341 :fb ;
6342 :92 ;
6343 :ff ;
6344 :6d ;
6345 :49 ;
6346 :49 ;
6347 :db ;
6348 :69 ;
6349 :8d ;
6350 :8d ;
6351 :8d ;
6352 :8d ;
6353 :8d ;
6354 :8d ;
6355 :b1 ;
6356 :fa ;
6357 :fa ;
6358 :fa ;
6359 :fa ;
6360 :fe ;
6361 :ff ;
6362 :ff ;
6363 :ff ;
6364 :ff ;
6365 :ff ;
6366 :ff ;
6367 :ff ;
6368 :ff ;
6369 :ff ;
6370 :ff ;
6371 :ff ;
6372 :ff ;
6373 :ff ;
6374 :ff ;
6375 :ff ;
6376 :ff ;
6377 :ff ;
6378 :ff ;
6379 :ff ;
6380 :ff ;
6381 :ff ;
6382 :db ;
6383 :d6 ;
6384 :fb ;
6385 :fb ;
6386 :da ;
6387 :4d ;
6388 :29 ;
6389 :29 ;
6390 :29 ;
6391 :4d ;
6392 :ff ;
6393 :ff ;
6394 :ff ;
6395 :ff ;
6396 :ff ;
6397 :ff ;
6398 :ff ;
6399 :ff ;
6400 :bb ;
6401 :db ;
6402 :b2 ;
6403 :ff ;
6404 :ff ;
6405 :ff ;
6406 :ff ;
6407 :ff ;
6408 :ff ;
6409 :ff ;
6410 :ff ;
6411 :ff ;
6412 :ff ;
6413 :ff ;
6414 :ff ;
6415 :ff ;
6416 :ff ;
6417 :ff ;
6418 :ff ;
6419 :ff ;
6420 :ff ;
6421 :ff ;
6422 :ff ;
6423 :ff ;
6424 :ff ;
6425 :ff ;
6426 :ff ;
6427 :ff ;
6428 :ff ;
6429 :ff ;
6430 :ff ;
6431 :ff ;
6432 :ff ;
6433 :ff ;
6434 :ff ;
6435 :ff ;
6436 :ff ;
6437 :ff ;
6438 :fa ;
6439 :b6 ;
6440 :b6 ;
6441 :fb ;
6442 :b6 ;
6443 :ff ;
6444 :49 ;
6445 :49 ;
6446 :69 ;
6447 :b6 ;
6448 :6d ;
6449 :8d ;
6450 :8d ;
6451 :8d ;
6452 :6d ;
6453 :69 ;
6454 :69 ;
6455 :6d ;
6456 :b1 ;
6457 :fa ;
6458 :fe ;
6459 :fe ;
6460 :fa ;
6461 :ff ;
6462 :ff ;
6463 :ff ;
6464 :ff ;
6465 :ff ;
6466 :ff ;
6467 :ff ;
6468 :ff ;
6469 :ff ;
6470 :ff ;
6471 :ff ;
6472 :ff ;
6473 :ff ;
6474 :ff ;
6475 :ff ;
6476 :ff ;
6477 :ff ;
6478 :ff ;
6479 :ff ;
6480 :ff ;
6481 :ff ;
6482 :da ;
6483 :fb ;
6484 :fb ;
6485 :fa ;
6486 :fa ;
6487 :d6 ;
6488 :4d ;
6489 :29 ;
6490 :29 ;
6491 :29 ;
6492 :92 ;
6493 :ff ;
6494 :ff ;
6495 :ff ;
6496 :ff ;
6497 :ff ;
6498 :ff ;
6499 :ff ;
6500 :bb ;
6501 :db ;
6502 :da ;
6503 :b6 ;
6504 :ff ;
6505 :ff ;
6506 :ff ;
6507 :ff ;
6508 :ff ;
6509 :ff ;
6510 :ff ;
6511 :ff ;
6512 :ff ;
6513 :ff ;
6514 :ff ;
6515 :ff ;
6516 :ff ;
6517 :ff ;
6518 :ff ;
6519 :ff ;
6520 :ff ;
6521 :ff ;
6522 :ff ;
6523 :ff ;
6524 :ff ;
6525 :ff ;
6526 :ff ;
6527 :ff ;
6528 :ff ;
6529 :ff ;
6530 :ff ;
6531 :ff ;
6532 :ff ;
6533 :ff ;
6534 :ff ;
6535 :ff ;
6536 :ff ;
6537 :ff ;
6538 :ff ;
6539 :fa ;
6540 :da ;
6541 :b6 ;
6542 :d6 ;
6543 :fb ;
6544 :49 ;
6545 :49 ;
6546 :49 ;
6547 :db ;
6548 :69 ;
6549 :8d ;
6550 :8d ;
6551 :8d ;
6552 :8d ;
6553 :69 ;
6554 :69 ;
6555 :69 ;
6556 :8d ;
6557 :d6 ;
6558 :fa ;
6559 :fa ;
6560 :fa ;
6561 :fa ;
6562 :ff ;
6563 :ff ;
6564 :ff ;
6565 :ff ;
6566 :ff ;
6567 :ff ;
6568 :ff ;
6569 :ff ;
6570 :ff ;
6571 :ff ;
6572 :ff ;
6573 :ff ;
6574 :ff ;
6575 :ff ;
6576 :ff ;
6577 :ff ;
6578 :ff ;
6579 :ff ;
6580 :ff ;
6581 :ff ;
6582 :b6 ;
6583 :fa ;
6584 :fa ;
6585 :fa ;
6586 :fa ;
6587 :fa ;
6588 :fa ;
6589 :b6 ;
6590 :29 ;
6591 :29 ;
6592 :29 ;
6593 :b6 ;
6594 :ff ;
6595 :ff ;
6596 :ff ;
6597 :ff ;
6598 :ff ;
6599 :ff ;
6600 :bb ;
6601 :ff ;
6602 :ff ;
6603 :8d ;
6604 :ff ;
6605 :ff ;
6606 :ff ;
6607 :ff ;
6608 :ff ;
6609 :ff ;
6610 :ff ;
6611 :ff ;
6612 :ff ;
6613 :ff ;
6614 :ff ;
6615 :ff ;
6616 :ff ;
6617 :ff ;
6618 :ff ;
6619 :ff ;
6620 :ff ;
6621 :ff ;
6622 :ff ;
6623 :ff ;
6624 :ff ;
6625 :ff ;
6626 :ff ;
6627 :ff ;
6628 :ff ;
6629 :ff ;
6630 :ff ;
6631 :ff ;
6632 :ff ;
6633 :ff ;
6634 :ff ;
6635 :ff ;
6636 :ff ;
6637 :ff ;
6638 :ff ;
6639 :fa ;
6640 :ff ;
6641 :da ;
6642 :8d ;
6643 :db ;
6644 :6d ;
6645 :49 ;
6646 :45 ;
6647 :fb ;
6648 :69 ;
6649 :8d ;
6650 :8d ;
6651 :8d ;
6652 :8d ;
6653 :8d ;
6654 :8d ;
6655 :6d ;
6656 :8d ;
6657 :fa ;
6658 :fa ;
6659 :fa ;
6660 :fa ;
6661 :d6 ;
6662 :ff ;
6663 :fb ;
6664 :fb ;
6665 :ff ;
6666 :ff ;
6667 :ff ;
6668 :ff ;
6669 :ff ;
6670 :ff ;
6671 :ff ;
6672 :ff ;
6673 :ff ;
6674 :ff ;
6675 :ff ;
6676 :ff ;
6677 :ff ;
6678 :ff ;
6679 :ff ;
6680 :ff ;
6681 :d6 ;
6682 :fa ;
6683 :fa ;
6684 :fa ;
6685 :fa ;
6686 :fa ;
6687 :b2 ;
6688 :49 ;
6689 :29 ;
6690 :29 ;
6691 :29 ;
6692 :29 ;
6693 :29 ;
6694 :db ;
6695 :ff ;
6696 :ff ;
6697 :ff ;
6698 :ff ;
6699 :db ;
6700 :ff ;
6701 :ff ;
6702 :ff ;
6703 :da ;
6704 :b2 ;
6705 :ff ;
6706 :ff ;
6707 :ff ;
6708 :ff ;
6709 :ff ;
6710 :ff ;
6711 :ff ;
6712 :ff ;
6713 :ff ;
6714 :ff ;
6715 :ff ;
6716 :ff ;
6717 :ff ;
6718 :ff ;
6719 :ff ;
6720 :ff ;
6721 :ff ;
6722 :ff ;
6723 :ff ;
6724 :ff ;
6725 :ff ;
6726 :ff ;
6727 :ff ;
6728 :ff ;
6729 :ff ;
6730 :ff ;
6731 :ff ;
6732 :ff ;
6733 :ff ;
6734 :ff ;
6735 :ff ;
6736 :ff ;
6737 :ff ;
6738 :ff ;
6739 :ff ;
6740 :fb ;
6741 :ff ;
6742 :da ;
6743 :92 ;
6744 :92 ;
6745 :45 ;
6746 :45 ;
6747 :b6 ;
6748 :92 ;
6749 :8d ;
6750 :8d ;
6751 :8d ;
6752 :8d ;
6753 :8d ;
6754 :b1 ;
6755 :fa ;
6756 :fa ;
6757 :fa ;
6758 :fa ;
6759 :ff ;
6760 :fa ;
6761 :fa ;
6762 :fb ;
6763 :fb ;
6764 :fb ;
6765 :fb ;
6766 :ff ;
6767 :ff ;
6768 :ff ;
6769 :ff ;
6770 :ff ;
6771 :ff ;
6772 :ff ;
6773 :ff ;
6774 :ff ;
6775 :ff ;
6776 :ff ;
6777 :ff ;
6778 :ff ;
6779 :ff ;
6780 :fb ;
6781 :b6 ;
6782 :fa ;
6783 :fa ;
6784 :fa ;
6785 :d6 ;
6786 :6d ;
6787 :49 ;
6788 :29 ;
6789 :29 ;
6790 :29 ;
6791 :29 ;
6792 :29 ;
6793 :29 ;
6794 :49 ;
6795 :ff ;
6796 :ff ;
6797 :ff ;
6798 :ff ;
6799 :97 ;
6800 :fe ;
6801 :ff ;
6802 :ff ;
6803 :ff ;
6804 :91 ;
6805 :ff ;
6806 :ff ;
6807 :ff ;
6808 :ff ;
6809 :ff ;
6810 :ff ;
6811 :ff ;
6812 :ff ;
6813 :ff ;
6814 :ff ;
6815 :ff ;
6816 :ff ;
6817 :ff ;
6818 :ff ;
6819 :ff ;
6820 :ff ;
6821 :ff ;
6822 :ff ;
6823 :ff ;
6824 :ff ;
6825 :ff ;
6826 :ff ;
6827 :ff ;
6828 :ff ;
6829 :ff ;
6830 :ff ;
6831 :ff ;
6832 :ff ;
6833 :ff ;
6834 :ff ;
6835 :ff ;
6836 :ff ;
6837 :ff ;
6838 :ff ;
6839 :ff ;
6840 :fb ;
6841 :ff ;
6842 :ff ;
6843 :b6 ;
6844 :b2 ;
6845 :45 ;
6846 :45 ;
6847 :8e ;
6848 :fb ;
6849 :69 ;
6850 :8d ;
6851 :8d ;
6852 :8d ;
6853 :8d ;
6854 :b1 ;
6855 :fa ;
6856 :fa ;
6857 :fa ;
6858 :fa ;
6859 :fa ;
6860 :fa ;
6861 :fa ;
6862 :fb ;
6863 :fb ;
6864 :fb ;
6865 :fb ;
6866 :fb ;
6867 :ff ;
6868 :ff ;
6869 :ff ;
6870 :ff ;
6871 :ff ;
6872 :ff ;
6873 :ff ;
6874 :ff ;
6875 :ff ;
6876 :ff ;
6877 :ff ;
6878 :ff ;
6879 :ff ;
6880 :92 ;
6881 :fa ;
6882 :fa ;
6883 :fa ;
6884 :fa ;
6885 :49 ;
6886 :49 ;
6887 :29 ;
6888 :29 ;
6889 :29 ;
6890 :29 ;
6891 :29 ;
6892 :29 ;
6893 :29 ;
6894 :29 ;
6895 :b6 ;
6896 :ff ;
6897 :ff ;
6898 :96 ;
6899 :97 ;
6900 :fe ;
6901 :fe ;
6902 :ff ;
6903 :ff ;
6904 :fe ;
6905 :b2 ;
6906 :ff ;
6907 :ff ;
6908 :ff ;
6909 :ff ;
6910 :ff ;
6911 :ff ;
6912 :ff ;
6913 :ff ;
6914 :ff ;
6915 :ff ;
6916 :ff ;
6917 :ff ;
6918 :ff ;
6919 :ff ;
6920 :ff ;
6921 :ff ;
6922 :ff ;
6923 :ff ;
6924 :ff ;
6925 :ff ;
6926 :ff ;
6927 :fb ;
6928 :ff ;
6929 :ff ;
6930 :ff ;
6931 :ff ;
6932 :ff ;
6933 :ff ;
6934 :ff ;
6935 :ff ;
6936 :ff ;
6937 :ff ;
6938 :ff ;
6939 :ff ;
6940 :ff ;
6941 :fb ;
6942 :ff ;
6943 :ff ;
6944 :b2 ;
6945 :49 ;
6946 :45 ;
6947 :25 ;
6948 :db ;
6949 :d6 ;
6950 :69 ;
6951 :8d ;
6952 :8d ;
6953 :8d ;
6954 :b1 ;
6955 :fa ;
6956 :fa ;
6957 :fa ;
6958 :fa ;
6959 :fa ;
6960 :fa ;
6961 :fa ;
6962 :fb ;
6963 :fb ;
6964 :fb ;
6965 :fb ;
6966 :fb ;
6967 :ff ;
6968 :ff ;
6969 :ff ;
6970 :ff ;
6971 :ff ;
6972 :ff ;
6973 :ff ;
6974 :ff ;
6975 :ff ;
6976 :ff ;
6977 :ff ;
6978 :ff ;
6979 :db ;
6980 :d6 ;
6981 :fa ;
6982 :fa ;
6983 :fa ;
6984 :d6 ;
6985 :92 ;
6986 :4d ;
6987 :29 ;
6988 :29 ;
6989 :29 ;
6990 :29 ;
6991 :29 ;
6992 :29 ;
6993 :29 ;
6994 :29 ;
6995 :db ;
6996 :ff ;
6997 :db ;
6998 :97 ;
6999 :97 ;
7000 :fb ;
7001 :ff ;
7002 :ff ;
7003 :ff ;
7004 :ff ;
7005 :b6 ;
7006 :d6 ;
7007 :ff ;
7008 :ff ;
7009 :ff ;
7010 :ff ;
7011 :ff ;
7012 :ff ;
7013 :ff ;
7014 :ff ;
7015 :ff ;
7016 :ff ;
7017 :ff ;
7018 :ff ;
7019 :ff ;
7020 :ff ;
7021 :ff ;
7022 :ff ;
7023 :ff ;
7024 :ff ;
7025 :fb ;
7026 :ff ;
7027 :ff ;
7028 :db ;
7029 :fb ;
7030 :ff ;
7031 :ff ;
7032 :ff ;
7033 :ff ;
7034 :ff ;
7035 :ff ;
7036 :ff ;
7037 :ff ;
7038 :ff ;
7039 :ff ;
7040 :ff ;
7041 :ff ;
7042 :ff ;
7043 :ff ;
7044 :ff ;
7045 :6d ;
7046 :45 ;
7047 :45 ;
7048 :49 ;
7049 :db ;
7050 :d6 ;
7051 :69 ;
7052 :8d ;
7053 :8d ;
7054 :b1 ;
7055 :b1 ;
7056 :fa ;
7057 :fa ;
7058 :fa ;
7059 :fa ;
7060 :fa ;
7061 :fa ;
7062 :fb ;
7063 :fb ;
7064 :fb ;
7065 :fb ;
7066 :fb ;
7067 :ff ;
7068 :ff ;
7069 :ff ;
7070 :ff ;
7071 :ff ;
7072 :ff ;
7073 :ff ;
7074 :ff ;
7075 :ff ;
7076 :ff ;
7077 :ff ;
7078 :ff ;
7079 :b2 ;
7080 :fb ;
7081 :fa ;
7082 :fa ;
7083 :fa ;
7084 :fa ;
7085 :fa ;
7086 :fb ;
7087 :6d ;
7088 :29 ;
7089 :29 ;
7090 :29 ;
7091 :29 ;
7092 :29 ;
7093 :29 ;
7094 :29 ;
7095 :29 ;
7096 :b6 ;
7097 :96 ;
7098 :97 ;
7099 :97 ;
7100 :bb ;
7101 :fb ;
7102 :ff ;
7103 :ff ;
7104 :ff ;
7105 :ff ;
7106 :91 ;
7107 :ff ;
7108 :ff ;
7109 :ff ;
7110 :ff ;
7111 :ff ;
7112 :ff ;
7113 :ff ;
7114 :ff ;
7115 :ff ;
7116 :ff ;
7117 :ff ;
7118 :ff ;
7119 :ff ;
7120 :ff ;
7121 :ff ;
7122 :ff ;
7123 :ff ;
7124 :ff ;
7125 :ff ;
7126 :ff ;
7127 :ff ;
7128 :ff ;
7129 :ff ;
7130 :da ;
7131 :ff ;
7132 :ff ;
7133 :ff ;
7134 :ff ;
7135 :ff ;
7136 :ff ;
7137 :ff ;
7138 :ff ;
7139 :ff ;
7140 :ff ;
7141 :ff ;
7142 :ff ;
7143 :ff ;
7144 :ff ;
7145 :fb ;
7146 :49 ;
7147 :49 ;
7148 :45 ;
7149 :6d ;
7150 :fb ;
7151 :db ;
7152 :8d ;
7153 :6d ;
7154 :b1 ;
7155 :b1 ;
7156 :d5 ;
7157 :fa ;
7158 :fa ;
7159 :fa ;
7160 :fa ;
7161 :d5 ;
7162 :fb ;
7163 :fb ;
7164 :fb ;
7165 :fb ;
7166 :fb ;
7167 :ff ;
7168 :ff ;
7169 :ff ;
7170 :ff ;
7171 :ff ;
7172 :ff ;
7173 :ff ;
7174 :ff ;
7175 :ff ;
7176 :ff ;
7177 :ff ;
7178 :b2 ;
7179 :fb ;
7180 :fa ;
7181 :fa ;
7182 :fa ;
7183 :fa ;
7184 :da ;
7185 :b2 ;
7186 :b2 ;
7187 :8e ;
7188 :29 ;
7189 :29 ;
7190 :29 ;
7191 :29 ;
7192 :29 ;
7193 :29 ;
7194 :29 ;
7195 :29 ;
7196 :49 ;
7197 :97 ;
7198 :97 ;
7199 :9b ;
7200 :bb ;
7201 :bb ;
7202 :db ;
7203 :ff ;
7204 :ff ;
7205 :ff ;
7206 :fa ;
7207 :b2 ;
7208 :ff ;
7209 :ff ;
7210 :ff ;
7211 :ff ;
7212 :ff ;
7213 :ff ;
7214 :ff ;
7215 :ff ;
7216 :ff ;
7217 :ff ;
7218 :ff ;
7219 :ff ;
7220 :ff ;
7221 :ff ;
7222 :ff ;
7223 :ff ;
7224 :ff ;
7225 :ff ;
7226 :ff ;
7227 :ff ;
7228 :ff ;
7229 :fb ;
7230 :ff ;
7231 :fb ;
7232 :da ;
7233 :ff ;
7234 :ff ;
7235 :ff ;
7236 :ff ;
7237 :ff ;
7238 :ff ;
7239 :ff ;
7240 :ff ;
7241 :ff ;
7242 :ff ;
7243 :ff ;
7244 :ff ;
7245 :ff ;
7246 :b6 ;
7247 :44 ;
7248 :49 ;
7249 :45 ;
7250 :92 ;
7251 :fb ;
7252 :fb ;
7253 :db ;
7254 :b2 ;
7255 :8d ;
7256 :69 ;
7257 :8d ;
7258 :b1 ;
7259 :b5 ;
7260 :fa ;
7261 :d6 ;
7262 :fb ;
7263 :fb ;
7264 :fb ;
7265 :fb ;
7266 :fb ;
7267 :ff ;
7268 :ff ;
7269 :ff ;
7270 :ff ;
7271 :da ;
7272 :db ;
7273 :ff ;
7274 :ff ;
7275 :ff ;
7276 :ff ;
7277 :d6 ;
7278 :da ;
7279 :fb ;
7280 :fa ;
7281 :fa ;
7282 :da ;
7283 :6d ;
7284 :49 ;
7285 :49 ;
7286 :49 ;
7287 :29 ;
7288 :29 ;
7289 :29 ;
7290 :29 ;
7291 :29 ;
7292 :29 ;
7293 :29 ;
7294 :29 ;
7295 :29 ;
7296 :72 ;
7297 :97 ;
7298 :97 ;
7299 :bb ;
7300 :bb ;
7301 :bb ;
7302 :bb ;
7303 :bb ;
7304 :db ;
7305 :db ;
7306 :ff ;
7307 :b6 ;
7308 :b6 ;
7309 :ff ;
7310 :ff ;
7311 :ff ;
7312 :ff ;
7313 :ff ;
7314 :ff ;
7315 :ff ;
7316 :ff ;
7317 :ff ;
7318 :ff ;
7319 :ff ;
7320 :ff ;
7321 :ff ;
7322 :ff ;
7323 :ff ;
7324 :ff ;
7325 :ff ;
7326 :ff ;
7327 :ff ;
7328 :ff ;
7329 :ff ;
7330 :ff ;
7331 :fb ;
7332 :ff ;
7333 :db ;
7334 :da ;
7335 :ff ;
7336 :ff ;
7337 :ff ;
7338 :ff ;
7339 :ff ;
7340 :ff ;
7341 :ff ;
7342 :ff ;
7343 :ff ;
7344 :ff ;
7345 :ff ;
7346 :ff ;
7347 :6d ;
7348 :49 ;
7349 :49 ;
7350 :49 ;
7351 :6d ;
7352 :fb ;
7353 :ff ;
7354 :ff ;
7355 :ff ;
7356 :ff ;
7357 :ff ;
7358 :ff ;
7359 :ff ;
7360 :ff ;
7361 :fb ;
7362 :fb ;
7363 :fb ;
7364 :fb ;
7365 :fb ;
7366 :fb ;
7367 :ff ;
7368 :ff ;
7369 :ff ;
7370 :da ;
7371 :fb ;
7372 :ff ;
7373 :ff ;
7374 :ff ;
7375 :ff ;
7376 :da ;
7377 :d6 ;
7378 :fb ;
7379 :fa ;
7380 :fa ;
7381 :fa ;
7382 :fa ;
7383 :fa ;
7384 :b6 ;
7385 :49 ;
7386 :29 ;
7387 :29 ;
7388 :29 ;
7389 :29 ;
7390 :29 ;
7391 :29 ;
7392 :29 ;
7393 :29 ;
7394 :29 ;
7395 :29 ;
7396 :29 ;
7397 :72 ;
7398 :97 ;
7399 :bb ;
7400 :bb ;
7401 :bb ;
7402 :bb ;
7403 :bb ;
7404 :bb ;
7405 :bb ;
7406 :bb ;
7407 :bb ;
7408 :92 ;
7409 :b6 ;
7410 :ff ;
7411 :ff ;
7412 :ff ;
7413 :ff ;
7414 :ff ;
7415 :ff ;
7416 :ff ;
7417 :ff ;
7418 :ff ;
7419 :ff ;
7420 :ff ;
7421 :ff ;
7422 :ff ;
7423 :ff ;
7424 :ff ;
7425 :ff ;
7426 :ff ;
7427 :ff ;
7428 :ff ;
7429 :ff ;
7430 :ff ;
7431 :ff ;
7432 :ff ;
7433 :fb ;
7434 :fb ;
7435 :d6 ;
7436 :d6 ;
7437 :ff ;
7438 :ff ;
7439 :ff ;
7440 :ff ;
7441 :ff ;
7442 :ff ;
7443 :ff ;
7444 :ff ;
7445 :ff ;
7446 :fb ;
7447 :d6 ;
7448 :49 ;
7449 :49 ;
7450 :49 ;
7451 :45 ;
7452 :6d ;
7453 :db ;
7454 :ff ;
7455 :ff ;
7456 :ff ;
7457 :ff ;
7458 :ff ;
7459 :ff ;
7460 :db ;
7461 :ff ;
7462 :fb ;
7463 :fb ;
7464 :fb ;
7465 :fb ;
7466 :ff ;
7467 :ff ;
7468 :da ;
7469 :da ;
7470 :ff ;
7471 :da ;
7472 :ff ;
7473 :ff ;
7474 :ff ;
7475 :d6 ;
7476 :b6 ;
7477 :fa ;
7478 :fa ;
7479 :fa ;
7480 :fa ;
7481 :fa ;
7482 :fa ;
7483 :fa ;
7484 :fa ;
7485 :fa ;
7486 :4d ;
7487 :29 ;
7488 :29 ;
7489 :29 ;
7490 :29 ;
7491 :29 ;
7492 :29 ;
7493 :29 ;
7494 :29 ;
7495 :29 ;
7496 :29 ;
7497 :29 ;
7498 :96 ;
7499 :db ;
7500 :bb ;
7501 :bb ;
7502 :bb ;
7503 :bb ;
7504 :bb ;
7505 :bb ;
7506 :bb ;
7507 :bb ;
7508 :bb ;
7509 :92 ;
7510 :db ;
7511 :ff ;
7512 :ff ;
7513 :ff ;
7514 :ff ;
7515 :ff ;
7516 :ff ;
7517 :ff ;
7518 :ff ;
7519 :ff ;
7520 :ff ;
7521 :ff ;
7522 :ff ;
7523 :ff ;
7524 :ff ;
7525 :ff ;
7526 :ff ;
7527 :ff ;
7528 :ff ;
7529 :ff ;
7530 :ff ;
7531 :ff ;
7532 :ff ;
7533 :ff ;
7534 :ff ;
7535 :da ;
7536 :d6 ;
7537 :92 ;
7538 :b6 ;
7539 :d6 ;
7540 :ff ;
7541 :ff ;
7542 :ff ;
7543 :fb ;
7544 :fb ;
7545 :fa ;
7546 :fa ;
7547 :fa ;
7548 :b6 ;
7549 :6d ;
7550 :49 ;
7551 :49 ;
7552 :49 ;
7553 :45 ;
7554 :8d ;
7555 :ff ;
7556 :ff ;
7557 :ff ;
7558 :ff ;
7559 :ff ;
7560 :ff ;
7561 :ff ;
7562 :fb ;
7563 :fb ;
7564 :fb ;
7565 :fb ;
7566 :da ;
7567 :d6 ;
7568 :ff ;
7569 :ff ;
7570 :d6 ;
7571 :fb ;
7572 :ff ;
7573 :ff ;
7574 :b6 ;
7575 :da ;
7576 :fa ;
7577 :fa ;
7578 :fa ;
7579 :fa ;
7580 :fa ;
7581 :fa ;
7582 :fa ;
7583 :fa ;
7584 :fa ;
7585 :b2 ;
7586 :49 ;
7587 :29 ;
7588 :29 ;
7589 :29 ;
7590 :29 ;
7591 :29 ;
7592 :29 ;
7593 :29 ;
7594 :29 ;
7595 :29 ;
7596 :29 ;
7597 :29 ;
7598 :6d ;
7599 :ff ;
7600 :bb ;
7601 :bb ;
7602 :bb ;
7603 :bb ;
7604 :bb ;
7605 :bb ;
7606 :bb ;
7607 :bb ;
7608 :bb ;
7609 :bb ;
7610 :6d ;
7611 :db ;
7612 :ff ;
7613 :ff ;
7614 :ff ;
7615 :ff ;
7616 :ff ;
7617 :ff ;
7618 :ff ;
7619 :ff ;
7620 :ff ;
7621 :ff ;
7622 :ff ;
7623 :ff ;
7624 :ff ;
7625 :ff ;
7626 :ff ;
7627 :ff ;
7628 :ff ;
7629 :ff ;
7630 :ff ;
7631 :ff ;
7632 :ff ;
7633 :ff ;
7634 :ff ;
7635 :ff ;
7636 :ff ;
7637 :ff ;
7638 :b6 ;
7639 :b6 ;
7640 :8d ;
7641 :b2 ;
7642 :d6 ;
7643 :fa ;
7644 :fa ;
7645 :fa ;
7646 :fa ;
7647 :fa ;
7648 :fa ;
7649 :92 ;
7650 :b2 ;
7651 :49 ;
7652 :49 ;
7653 :49 ;
7654 :49 ;
7655 :49 ;
7656 :49 ;
7657 :6d ;
7658 :92 ;
7659 :ff ;
7660 :ff ;
7661 :ff ;
7662 :ff ;
7663 :fb ;
7664 :d6 ;
7665 :d6 ;
7666 :db ;
7667 :ff ;
7668 :ff ;
7669 :db ;
7670 :da ;
7671 :fb ;
7672 :d6 ;
7673 :92 ;
7674 :fb ;
7675 :fb ;
7676 :fb ;
7677 :fa ;
7678 :fa ;
7679 :da ;
7680 :b2 ;
7681 :b2 ;
7682 :b2 ;
7683 :b6 ;
7684 :b6 ;
7685 :b6 ;
7686 :b6 ;
7687 :b6 ;
7688 :b6 ;
7689 :92 ;
7690 :6d ;
7691 :4d ;
7692 :29 ;
7693 :29 ;
7694 :29 ;
7695 :29 ;
7696 :29 ;
7697 :29 ;
7698 :29 ;
7699 :db ;
7700 :bb ;
7701 :bb ;
7702 :bb ;
7703 :bb ;
7704 :bb ;
7705 :bb ;
7706 :bb ;
7707 :bb ;
7708 :bb ;
7709 :bb ;
7710 :bb ;
7711 :72 ;
7712 :da ;
7713 :ff ;
7714 :ff ;
7715 :ff ;
7716 :ff ;
7717 :ff ;
7718 :ff ;
7719 :ff ;
7720 :ff ;
7721 :ff ;
7722 :ff ;
7723 :ff ;
7724 :ff ;
7725 :ff ;
7726 :ff ;
7727 :ff ;
7728 :ff ;
7729 :ff ;
7730 :ff ;
7731 :ff ;
7732 :ff ;
7733 :ff ;
7734 :ff ;
7735 :ff ;
7736 :ff ;
7737 :ff ;
7738 :ff ;
7739 :ff ;
7740 :ff ;
7741 :d6 ;
7742 :b6 ;
7743 :b6 ;
7744 :b6 ;
7745 :b2 ;
7746 :da ;
7747 :fa ;
7748 :fa ;
7749 :b2 ;
7750 :fb ;
7751 :d6 ;
7752 :69 ;
7753 :49 ;
7754 :49 ;
7755 :49 ;
7756 :49 ;
7757 :b2 ;
7758 :d6 ;
7759 :da ;
7760 :da ;
7761 :d6 ;
7762 :d6 ;
7763 :db ;
7764 :ff ;
7765 :ff ;
7766 :ff ;
7767 :ff ;
7768 :fb ;
7769 :92 ;
7770 :92 ;
7771 :b2 ;
7772 :d6 ;
7773 :fb ;
7774 :d6 ;
7775 :b2 ;
7776 :b2 ;
7777 :da ;
7778 :d6 ;
7779 :d6 ;
7780 :ff ;
7781 :ff ;
7782 :ff ;
7783 :ff ;
7784 :ff ;
7785 :ff ;
7786 :ff ;
7787 :ff ;
7788 :ff ;
7789 :ff ;
7790 :ff ;
7791 :ff ;
7792 :ff ;
7793 :b6 ;
7794 :92 ;
7795 :29 ;
7796 :29 ;
7797 :29 ;
7798 :29 ;
7799 :6d ;
7800 :bb ;
7801 :bb ;
7802 :bb ;
7803 :bb ;
7804 :bb ;
7805 :bb ;
7806 :bb ;
7807 :bb ;
7808 :bb ;
7809 :bb ;
7810 :bb ;
7811 :bb ;
7812 :92 ;
7813 :fb ;
7814 :ff ;
7815 :ff ;
7816 :ff ;
7817 :ff ;
7818 :ff ;
7819 :ff ;
7820 :ff ;
7821 :ff ;
7822 :ff ;
7823 :ff ;
7824 :ff ;
7825 :ff ;
7826 :ff ;
7827 :ff ;
7828 :ff ;
7829 :ff ;
7830 :ff ;
7831 :ff ;
7832 :ff ;
7833 :ff ;
7834 :ff ;
7835 :ff ;
7836 :ff ;
7837 :ff ;
7838 :ff ;
7839 :ff ;
7840 :ff ;
7841 :ff ;
7842 :ff ;
7843 :ff ;
7844 :ff ;
7845 :ff ;
7846 :ff ;
7847 :d6 ;
7848 :d6 ;
7849 :91 ;
7850 :91 ;
7851 :b6 ;
7852 :91 ;
7853 :8d ;
7854 :8d ;
7855 :8d ;
7856 :91 ;
7857 :b6 ;
7858 :d6 ;
7859 :d6 ;
7860 :ff ;
7861 :ff ;
7862 :ff ;
7863 :ff ;
7864 :ff ;
7865 :ff ;
7866 :ff ;
7867 :ff ;
7868 :d6 ;
7869 :d6 ;
7870 :91 ;
7871 :91 ;
7872 :8d ;
7873 :b2 ;
7874 :d6 ;
7875 :fb ;
7876 :ff ;
7877 :ff ;
7878 :ff ;
7879 :ff ;
7880 :ff ;
7881 :ff ;
7882 :ff ;
7883 :ff ;
7884 :ff ;
7885 :ff ;
7886 :ff ;
7887 :ff ;
7888 :ff ;
7889 :ff ;
7890 :ff ;
7891 :ff ;
7892 :ff ;
7893 :ff ;
7894 :ff ;
7895 :fb ;
7896 :6d ;
7897 :29 ;
7898 :29 ;
7899 :49 ;
7900 :bb ;
7901 :bb ;
7902 :bb ;
7903 :bb ;
7904 :bb ;
7905 :bb ;
7906 :bb ;
7907 :bb ;
7908 :bb ;
7909 :bb ;
7910 :bb ;
7911 :bb ;
7912 :bb ;
7913 :72 ;
7914 :db ;
7915 :ff ;
7916 :ff ;
7917 :ff ;
7918 :ff ;
7919 :ff ;
7920 :ff ;
7921 :ff ;
7922 :ff ;
7923 :ff ;
7924 :ff ;
7925 :ff ;
7926 :ff ;
7927 :ff ;
7928 :ff ;
7929 :ff ;
7930 :ff ;
7931 :ff ;
7932 :ff ;
7933 :ff ;
7934 :ff ;
7935 :ff ;
7936 :ff ;
7937 :ff ;
7938 :ff ;
7939 :ff ;
7940 :ff ;
7941 :ff ;
7942 :ff ;
7943 :ff ;
7944 :ff ;
7945 :ff ;
7946 :ff ;
7947 :ff ;
7948 :ff ;
7949 :ff ;
7950 :ff ;
7951 :ff ;
7952 :ff ;
7953 :ff ;
7954 :ff ;
7955 :ff ;
7956 :ff ;
7957 :ff ;
7958 :ff ;
7959 :ff ;
7960 :ff ;
7961 :ff ;
7962 :ff ;
7963 :ff ;
7964 :ff ;
7965 :ff ;
7966 :ff ;
7967 :fb ;
7968 :d6 ;
7969 :fb ;
7970 :fa ;
7971 :fa ;
7972 :fa ;
7973 :fb ;
7974 :ff ;
7975 :ff ;
7976 :ff ;
7977 :ff ;
7978 :ff ;
7979 :ff ;
7980 :ff ;
7981 :ff ;
7982 :ff ;
7983 :ff ;
7984 :ff ;
7985 :ff ;
7986 :ff ;
7987 :ff ;
7988 :ff ;
7989 :ff ;
7990 :ff ;
7991 :ff ;
7992 :ff ;
7993 :ff ;
7994 :ff ;
7995 :ff ;
7996 :ff ;
7997 :b6 ;
7998 :29 ;
7999 :29 ;
8000 :bb ;
8001 :bb ;
8002 :bb ;
8003 :bb ;
8004 :bb ;
8005 :bb ;
8006 :bb ;
8007 :bb ;
8008 :bb ;
8009 :bb ;
8010 :bb ;
8011 :bb ;
8012 :bb ;
8013 :bb ;
8014 :92 ;
8015 :b6 ;
8016 :ff ;
8017 :ff ;
8018 :ff ;
8019 :ff ;
8020 :ff ;
8021 :ff ;
8022 :ff ;
8023 :ff ;
8024 :ff ;
8025 :ff ;
8026 :ff ;
8027 :ff ;
8028 :ff ;
8029 :ff ;
8030 :ff ;
8031 :ff ;
8032 :ff ;
8033 :ff ;
8034 :ff ;
8035 :ff ;
8036 :ff ;
8037 :ff ;
8038 :ff ;
8039 :ff ;
8040 :ff ;
8041 :ff ;
8042 :ff ;
8043 :ff ;
8044 :ff ;
8045 :ff ;
8046 :ff ;
8047 :ff ;
8048 :ff ;
8049 :ff ;
8050 :ff ;
8051 :ff ;
8052 :ff ;
8053 :ff ;
8054 :ff ;
8055 :ff ;
8056 :ff ;
8057 :ff ;
8058 :ff ;
8059 :ff ;
8060 :ff ;
8061 :ff ;
8062 :ff ;
8063 :ff ;
8064 :ff ;
8065 :ff ;
8066 :ff ;
8067 :da ;
8068 :fb ;
8069 :fb ;
8070 :fb ;
8071 :ff ;
8072 :ff ;
8073 :ff ;
8074 :ff ;
8075 :ff ;
8076 :ff ;
8077 :ff ;
8078 :ff ;
8079 :ff ;
8080 :ff ;
8081 :ff ;
8082 :ff ;
8083 :ff ;
8084 :ff ;
8085 :ff ;
8086 :ff ;
8087 :ff ;
8088 :ff ;
8089 :ff ;
8090 :ff ;
8091 :ff ;
8092 :ff ;
8093 :ff ;
8094 :ff ;
8095 :ff ;
8096 :ff ;
8097 :ff ;
8098 :fb ;
8099 :49 ;
8100 :bb ;
8101 :bb ;
8102 :bb ;
8103 :bb ;
8104 :bb ;
8105 :bb ;
8106 :bb ;
8107 :bb ;
8108 :bb ;
8109 :bb ;
8110 :bb ;
8111 :bb ;
8112 :bb ;
8113 :bb ;
8114 :bb ;
8115 :96 ;
8116 :b6 ;
8117 :ff ;
8118 :ff ;
8119 :ff ;
8120 :ff ;
8121 :ff ;
8122 :ff ;
8123 :ff ;
8124 :ff ;
8125 :ff ;
8126 :ff ;
8127 :ff ;
8128 :ff ;
8129 :ff ;
8130 :ff ;
8131 :ff ;
8132 :ff ;
8133 :ff ;
8134 :ff ;
8135 :ff ;
8136 :ff ;
8137 :ff ;
8138 :ff ;
8139 :ff ;
8140 :ff ;
8141 :ff ;
8142 :ff ;
8143 :ff ;
8144 :ff ;
8145 :ff ;
8146 :ff ;
8147 :ff ;
8148 :ff ;
8149 :ff ;
8150 :ff ;
8151 :ff ;
8152 :ff ;
8153 :ff ;
8154 :ff ;
8155 :ff ;
8156 :ff ;
8157 :ff ;
8158 :ff ;
8159 :ff ;
8160 :ff ;
8161 :ff ;
8162 :ff ;
8163 :ff ;
8164 :ff ;
8165 :ff ;
8166 :ff ;
8167 :ff ;
8168 :ff ;
8169 :ff ;
8170 :ff ;
8171 :ff ;
8172 :ff ;
8173 :ff ;
8174 :ff ;
8175 :ff ;
8176 :ff ;
8177 :ff ;
8178 :ff ;
8179 :ff ;
8180 :ff ;
8181 :ff ;
8182 :ff ;
8183 :ff ;
8184 :ff ;
8185 :ff ;
8186 :ff ;
8187 :ff ;
8188 :ff ;
8189 :ff ;
8190 :ff ;
8191 :ff ;
8192 :ff ;
8193 :ff ;
8194 :ff ;
8195 :ff ;
8196 :ff ;
8197 :ff ;
8198 :ff ;
8199 :fb ;
8200 :bb ;
8201 :bb ;
8202 :bb ;
8203 :bb ;
8204 :bb ;
8205 :bb ;
8206 :bb ;
8207 :bb ;
8208 :bb ;
8209 :bb ;
8210 :bb ;
8211 :bb ;
8212 :bb ;
8213 :bb ;
8214 :bb ;
8215 :bb ;
8216 :b7 ;
8217 :96 ;
8218 :ff ;
8219 :ff ;
8220 :ff ;
8221 :ff ;
8222 :ff ;
8223 :ff ;
8224 :ff ;
8225 :ff ;
8226 :ff ;
8227 :ff ;
8228 :ff ;
8229 :ff ;
8230 :ff ;
8231 :ff ;
8232 :ff ;
8233 :ff ;
8234 :ff ;
8235 :ff ;
8236 :ff ;
8237 :ff ;
8238 :ff ;
8239 :ff ;
8240 :ff ;
8241 :ff ;
8242 :ff ;
8243 :ff ;
8244 :ff ;
8245 :ff ;
8246 :ff ;
8247 :ff ;
8248 :ff ;
8249 :ff ;
8250 :ff ;
8251 :ff ;
8252 :ff ;
8253 :ff ;
8254 :ff ;
8255 :ff ;
8256 :ff ;
8257 :ff ;
8258 :ff ;
8259 :ff ;
8260 :ff ;
8261 :ff ;
8262 :ff ;
8263 :ff ;
8264 :ff ;
8265 :ff ;
8266 :ff ;
8267 :ff ;
8268 :ff ;
8269 :ff ;
8270 :ff ;
8271 :ff ;
8272 :ff ;
8273 :ff ;
8274 :ff ;
8275 :ff ;
8276 :ff ;
8277 :ff ;
8278 :ff ;
8279 :ff ;
8280 :ff ;
8281 :ff ;
8282 :ff ;
8283 :ff ;
8284 :ff ;
8285 :ff ;
8286 :ff ;
8287 :ff ;
8288 :ff ;
8289 :ff ;
8290 :ff ;
8291 :ff ;
8292 :ff ;
8293 :ff ;
8294 :ff ;
8295 :ff ;
8296 :ff ;
8297 :ff ;
8298 :ff ;
8299 :ff ;
8300 :bb ;
8301 :bb ;
8302 :bb ;
8303 :bb ;
8304 :bb ;
8305 :bb ;
8306 :bb ;
8307 :bb ;
8308 :bb ;
8309 :bb ;
8310 :bb ;
8311 :bb ;
8312 :bb ;
8313 :bb ;
8314 :bb ;
8315 :bb ;
8316 :bb ;
8317 :bb ;
8318 :96 ;
8319 :db ;
8320 :ff ;
8321 :ff ;
8322 :ff ;
8323 :ff ;
8324 :ff ;
8325 :ff ;
8326 :ff ;
8327 :ff ;
8328 :ff ;
8329 :ff ;
8330 :ff ;
8331 :ff ;
8332 :ff ;
8333 :ff ;
8334 :ff ;
8335 :ff ;
8336 :ff ;
8337 :ff ;
8338 :ff ;
8339 :ff ;
8340 :ff ;
8341 :ff ;
8342 :ff ;
8343 :ff ;
8344 :ff ;
8345 :ff ;
8346 :ff ;
8347 :ff ;
8348 :ff ;
8349 :ff ;
8350 :ff ;
8351 :ff ;
8352 :ff ;
8353 :ff ;
8354 :ff ;
8355 :ff ;
8356 :ff ;
8357 :ff ;
8358 :ff ;
8359 :ff ;
8360 :ff ;
8361 :ff ;
8362 :ff ;
8363 :ff ;
8364 :ff ;
8365 :ff ;
8366 :ff ;
8367 :ff ;
8368 :ff ;
8369 :ff ;
8370 :ff ;
8371 :ff ;
8372 :ff ;
8373 :ff ;
8374 :ff ;
8375 :ff ;
8376 :ff ;
8377 :ff ;
8378 :ff ;
8379 :ff ;
8380 :ff ;
8381 :ff ;
8382 :ff ;
8383 :ff ;
8384 :ff ;
8385 :ff ;
8386 :ff ;
8387 :ff ;
8388 :ff ;
8389 :d6 ;
8390 :b6 ;
8391 :b6 ;
8392 :b6 ;
8393 :b2 ;
8394 :8d ;
8395 :d6 ;
8396 :d6 ;
8397 :d6 ;
8398 :d6 ;
8399 :ff ;
8400 :bb ;
8401 :bb ;
8402 :bb ;
8403 :bb ;
8404 :bb ;
8405 :bb ;
8406 :bb ;
8407 :bb ;
8408 :bb ;
8409 :bb ;
8410 :bb ;
8411 :bb ;
8412 :bb ;
8413 :bb ;
8414 :bb ;
8415 :bb ;
8416 :bb ;
8417 :bb ;
8418 :bb ;
8419 :b7 ;
8420 :b6 ;
8421 :ff ;
8422 :ff ;
8423 :ff ;
8424 :ff ;
8425 :ff ;
8426 :ff ;
8427 :ff ;
8428 :ff ;
8429 :ff ;
8430 :ff ;
8431 :ff ;
8432 :ff ;
8433 :ff ;
8434 :ff ;
8435 :ff ;
8436 :ff ;
8437 :ff ;
8438 :ff ;
8439 :ff ;
8440 :ff ;
8441 :ff ;
8442 :ff ;
8443 :ff ;
8444 :ff ;
8445 :ff ;
8446 :ff ;
8447 :ff ;
8448 :ff ;
8449 :ff ;
8450 :ff ;
8451 :ff ;
8452 :ff ;
8453 :ff ;
8454 :ff ;
8455 :ff ;
8456 :ff ;
8457 :ff ;
8458 :ff ;
8459 :ff ;
8460 :ff ;
8461 :ff ;
8462 :ff ;
8463 :ff ;
8464 :ff ;
8465 :ff ;
8466 :ff ;
8467 :ff ;
8468 :ff ;
8469 :ff ;
8470 :ff ;
8471 :da ;
8472 :ff ;
8473 :ff ;
8474 :ff ;
8475 :ff ;
8476 :ff ;
8477 :ff ;
8478 :ff ;
8479 :ff ;
8480 :ff ;
8481 :ff ;
8482 :ff ;
8483 :ff ;
8484 :da ;
8485 :b6 ;
8486 :6d ;
8487 :49 ;
8488 :69 ;
8489 :b2 ;
8490 :db ;
8491 :db ;
8492 :fb ;
8493 :fb ;
8494 :da ;
8495 :b6 ;
8496 :fb ;
8497 :fb ;
8498 :da ;
8499 :b6 ;
8500 :bb ;
8501 :bb ;
8502 :bb ;
8503 :bb ;
8504 :bb ;
8505 :bb ;
8506 :bb ;
8507 :bb ;
8508 :bb ;
8509 :bb ;
8510 :bb ;
8511 :bb ;
8512 :bb ;
8513 :bb ;
8514 :bb ;
8515 :bb ;
8516 :bb ;
8517 :bb ;
8518 :bb ;
8519 :db ;
8520 :db ;
8521 :b7 ;
8522 :db ;
8523 :ff ;
8524 :ff ;
8525 :ff ;
8526 :ff ;
8527 :ff ;
8528 :ff ;
8529 :ff ;
8530 :ff ;
8531 :ff ;
8532 :ff ;
8533 :ff ;
8534 :ff ;
8535 :ff ;
8536 :ff ;
8537 :ff ;
8538 :ff ;
8539 :ff ;
8540 :ff ;
8541 :ff ;
8542 :ff ;
8543 :ff ;
8544 :ff ;
8545 :ff ;
8546 :ff ;
8547 :ff ;
8548 :ff ;
8549 :ff ;
8550 :ff ;
8551 :ff ;
8552 :ff ;
8553 :ff ;
8554 :ff ;
8555 :ff ;
8556 :ff ;
8557 :ff ;
8558 :ff ;
8559 :ff ;
8560 :ff ;
8561 :ff ;
8562 :ff ;
8563 :ff ;
8564 :ff ;
8565 :ff ;
8566 :ff ;
8567 :ff ;
8568 :ff ;
8569 :ff ;
8570 :b2 ;
8571 :da ;
8572 :ff ;
8573 :ff ;
8574 :ff ;
8575 :ff ;
8576 :ff ;
8577 :ff ;
8578 :ff ;
8579 :da ;
8580 :92 ;
8581 :b2 ;
8582 :8d ;
8583 :29 ;
8584 :49 ;
8585 :49 ;
8586 :29 ;
8587 :29 ;
8588 :29 ;
8589 :25 ;
8590 :24 ;
8591 :24 ;
8592 :49 ;
8593 :8d ;
8594 :b6 ;
8595 :da ;
8596 :b2 ;
8597 :fb ;
8598 :ff ;
8599 :fb ;
8600 :bb ;
8601 :bb ;
8602 :bb ;
8603 :bb ;
8604 :bb ;
8605 :bb ;
8606 :bb ;
8607 :bb ;
8608 :bb ;
8609 :bb ;
8610 :bb ;
8611 :bb ;
8612 :bb ;
8613 :bb ;
8614 :bb ;
8615 :bb ;
8616 :bb ;
8617 :bb ;
8618 :df ;
8619 :ff ;
8620 :ff ;
8621 :ff ;
8622 :ff ;
8623 :b6 ;
8624 :fb ;
8625 :ff ;
8626 :ff ;
8627 :ff ;
8628 :ff ;
8629 :ff ;
8630 :ff ;
8631 :ff ;
8632 :ff ;
8633 :ff ;
8634 :ff ;
8635 :ff ;
8636 :ff ;
8637 :ff ;
8638 :ff ;
8639 :ff ;
8640 :ff ;
8641 :ff ;
8642 :ff ;
8643 :ff ;
8644 :ff ;
8645 :ff ;
8646 :ff ;
8647 :ff ;
8648 :ff ;
8649 :ff ;
8650 :ff ;
8651 :ff ;
8652 :ff ;
8653 :ff ;
8654 :ff ;
8655 :ff ;
8656 :ff ;
8657 :ff ;
8658 :ff ;
8659 :ff ;
8660 :ff ;
8661 :ff ;
8662 :ff ;
8663 :ff ;
8664 :ff ;
8665 :ff ;
8666 :ff ;
8667 :ff ;
8668 :ff ;
8669 :b2 ;
8670 :da ;
8671 :ff ;
8672 :ff ;
8673 :ff ;
8674 :ff ;
8675 :d6 ;
8676 :92 ;
8677 :92 ;
8678 :91 ;
8679 :b6 ;
8680 :da ;
8681 :da ;
8682 :91 ;
8683 :49 ;
8684 :29 ;
8685 :29 ;
8686 :29 ;
8687 :29 ;
8688 :29 ;
8689 :29 ;
8690 :29 ;
8691 :29 ;
8692 :49 ;
8693 :29 ;
8694 :29 ;
8695 :29 ;
8696 :6d ;
8697 :8d ;
8698 :ff ;
8699 :ff ;
8700 :bb ;
8701 :bb ;
8702 :bb ;
8703 :bb ;
8704 :bb ;
8705 :bb ;
8706 :bb ;
8707 :bb ;
8708 :bb ;
8709 :bb ;
8710 :bb ;
8711 :bb ;
8712 :bb ;
8713 :bb ;
8714 :bb ;
8715 :bb ;
8716 :bb ;
8717 :bb ;
8718 :ff ;
8719 :ff ;
8720 :ff ;
8721 :ff ;
8722 :ff ;
8723 :ff ;
8724 :db ;
8725 :b6 ;
8726 :ff ;
8727 :ff ;
8728 :ff ;
8729 :ff ;
8730 :ff ;
8731 :ff ;
8732 :ff ;
8733 :ff ;
8734 :ff ;
8735 :db ;
8736 :ff ;
8737 :ff ;
8738 :ff ;
8739 :ff ;
8740 :ff ;
8741 :ff ;
8742 :ff ;
8743 :ff ;
8744 :ff ;
8745 :ff ;
8746 :ff ;
8747 :ff ;
8748 :ff ;
8749 :ff ;
8750 :ff ;
8751 :ff ;
8752 :ff ;
8753 :ff ;
8754 :ff ;
8755 :ff ;
8756 :ff ;
8757 :ff ;
8758 :ff ;
8759 :ff ;
8760 :ff ;
8761 :ff ;
8762 :ff ;
8763 :ff ;
8764 :ff ;
8765 :ff ;
8766 :ff ;
8767 :d6 ;
8768 :6d ;
8769 :92 ;
8770 :b2 ;
8771 :b6 ;
8772 :b6 ;
8773 :b6 ;
8774 :b2 ;
8775 :d6 ;
8776 :fa ;
8777 :fa ;
8778 :fa ;
8779 :fa ;
8780 :fa ;
8781 :92 ;
8782 :29 ;
8783 :29 ;
8784 :29 ;
8785 :29 ;
8786 :29 ;
8787 :29 ;
8788 :29 ;
8789 :29 ;
8790 :29 ;
8791 :29 ;
8792 :29 ;
8793 :29 ;
8794 :29 ;
8795 :29 ;
8796 :29 ;
8797 :29 ;
8798 :8d ;
8799 :ff ;
8800 :bb ;
8801 :bb ;
8802 :bb ;
8803 :bb ;
8804 :bb ;
8805 :bb ;
8806 :bb ;
8807 :bb ;
8808 :bb ;
8809 :bb ;
8810 :bb ;
8811 :bb ;
8812 :bb ;
8813 :bb ;
8814 :bb ;
8815 :bb ;
8816 :bb ;
8817 :bb ;
8818 :ff ;
8819 :ff ;
8820 :ff ;
8821 :ff ;
8822 :ff ;
8823 :ff ;
8824 :ff ;
8825 :ff ;
8826 :b6 ;
8827 :b6 ;
8828 :ff ;
8829 :ff ;
8830 :ff ;
8831 :ff ;
8832 :ff ;
8833 :ff ;
8834 :ff ;
8835 :ff ;
8836 :fb ;
8837 :db ;
8838 :db ;
8839 :ff ;
8840 :ff ;
8841 :ff ;
8842 :ff ;
8843 :ff ;
8844 :ff ;
8845 :ff ;
8846 :ff ;
8847 :ff ;
8848 :ff ;
8849 :ff ;
8850 :ff ;
8851 :ff ;
8852 :ff ;
8853 :ff ;
8854 :ff ;
8855 :ff ;
8856 :ff ;
8857 :ff ;
8858 :ff ;
8859 :ff ;
8860 :ff ;
8861 :ff ;
8862 :ff ;
8863 :ff ;
8864 :ff ;
8865 :fb ;
8866 :92 ;
8867 :b2 ;
8868 :d6 ;
8869 :fb ;
8870 :d6 ;
8871 :b2 ;
8872 :d6 ;
8873 :fa ;
8874 :fa ;
8875 :fa ;
8876 :fa ;
8877 :fa ;
8878 :fa ;
8879 :fa ;
8880 :6d ;
8881 :49 ;
8882 :29 ;
8883 :29 ;
8884 :29 ;
8885 :29 ;
8886 :29 ;
8887 :29 ;
8888 :29 ;
8889 :29 ;
8890 :29 ;
8891 :29 ;
8892 :29 ;
8893 :29 ;
8894 :29 ;
8895 :29 ;
8896 :29 ;
8897 :29 ;
8898 :29 ;
8899 :b6 ;
8900 :bb ;
8901 :bb ;
8902 :bb ;
8903 :bb ;
8904 :bb ;
8905 :bb ;
8906 :bb ;
8907 :bb ;
8908 :bb ;
8909 :bb ;
8910 :bb ;
8911 :bb ;
8912 :bb ;
8913 :bb ;
8914 :bb ;
8915 :bb ;
8916 :bb ;
8917 :bb ;
8918 :ff ;
8919 :ff ;
8920 :ff ;
8921 :ff ;
8922 :ff ;
8923 :ff ;
8924 :ff ;
8925 :db ;
8926 :db ;
8927 :bb ;
8928 :b6 ;
8929 :b6 ;
8930 :ff ;
8931 :ff ;
8932 :ff ;
8933 :ff ;
8934 :ff ;
8935 :ff ;
8936 :ff ;
8937 :ff ;
8938 :ff ;
8939 :da ;
8940 :d6 ;
8941 :d6 ;
8942 :ff ;
8943 :ff ;
8944 :ff ;
8945 :ff ;
8946 :ff ;
8947 :ff ;
8948 :ff ;
8949 :ff ;
8950 :ff ;
8951 :ff ;
8952 :ff ;
8953 :ff ;
8954 :ff ;
8955 :ff ;
8956 :ff ;
8957 :ff ;
8958 :ff ;
8959 :ff ;
8960 :ff ;
8961 :ff ;
8962 :ff ;
8963 :ff ;
8964 :d6 ;
8965 :da ;
8966 :fb ;
8967 :d6 ;
8968 :b2 ;
8969 :8d ;
8970 :b2 ;
8971 :da ;
8972 :fa ;
8973 :fa ;
8974 :fa ;
8975 :fa ;
8976 :fa ;
8977 :fa ;
8978 :b6 ;
8979 :6d ;
8980 :49 ;
8981 :49 ;
8982 :29 ;
8983 :29 ;
8984 :29 ;
8985 :29 ;
8986 :29 ;
8987 :29 ;
8988 :29 ;
8989 :29 ;
8990 :29 ;
8991 :29 ;
8992 :29 ;
8993 :29 ;
8994 :29 ;
8995 :29 ;
8996 :29 ;
8997 :29 ;
8998 :29 ;
8999 :4d ;
9000 :bb ;
9001 :bb ;
9002 :bb ;
9003 :bb ;
9004 :bb ;
9005 :bb ;
9006 :bb ;
9007 :bb ;
9008 :bb ;
9009 :bb ;
9010 :bb ;
9011 :bb ;
9012 :bb ;
9013 :bb ;
9014 :bb ;
9015 :bb ;
9016 :bb ;
9017 :bb ;
9018 :db ;
9019 :ff ;
9020 :ff ;
9021 :ff ;
9022 :ff ;
9023 :ff ;
9024 :df ;
9025 :bb ;
9026 :bb ;
9027 :bb ;
9028 :bb ;
9029 :bb ;
9030 :96 ;
9031 :92 ;
9032 :b6 ;
9033 :ff ;
9034 :ff ;
9035 :ff ;
9036 :ff ;
9037 :ff ;
9038 :ff ;
9039 :ff ;
9040 :ff ;
9041 :ff ;
9042 :ff ;
9043 :d6 ;
9044 :b6 ;
9045 :b6 ;
9046 :d6 ;
9047 :ff ;
9048 :ff ;
9049 :ff ;
9050 :ff ;
9051 :ff ;
9052 :ff ;
9053 :ff ;
9054 :ff ;
9055 :ff ;
9056 :ff ;
9057 :ff ;
9058 :ff ;
9059 :ff ;
9060 :ff ;
9061 :ff ;
9062 :ff ;
9063 :ff ;
9064 :db ;
9065 :b6 ;
9066 :92 ;
9067 :92 ;
9068 :b6 ;
9069 :fb ;
9070 :fa ;
9071 :fa ;
9072 :fa ;
9073 :fa ;
9074 :fa ;
9075 :fa ;
9076 :fa ;
9077 :fa ;
9078 :da ;
9079 :91 ;
9080 :49 ;
9081 :49 ;
9082 :29 ;
9083 :29 ;
9084 :29 ;
9085 :29 ;
9086 :29 ;
9087 :29 ;
9088 :29 ;
9089 :29 ;
9090 :29 ;
9091 :29 ;
9092 :29 ;
9093 :29 ;
9094 :29 ;
9095 :29 ;
9096 :29 ;
9097 :29 ;
9098 :29 ;
9099 :29 ;
9100 :bb ;
9101 :bb ;
9102 :bb ;
9103 :bb ;
9104 :bb ;
9105 :bb ;
9106 :bb ;
9107 :bb ;
9108 :bb ;
9109 :bb ;
9110 :bb ;
9111 :bb ;
9112 :bb ;
9113 :bb ;
9114 :bb ;
9115 :bb ;
9116 :bb ;
9117 :bb ;
9118 :ff ;
9119 :ff ;
9120 :ff ;
9121 :ff ;
9122 :ff ;
9123 :ff ;
9124 :ff ;
9125 :db ;
9126 :bb ;
9127 :bb ;
9128 :bb ;
9129 :bb ;
9130 :bb ;
9131 :bb ;
9132 :97 ;
9133 :92 ;
9134 :b6 ;
9135 :ff ;
9136 :ff ;
9137 :ff ;
9138 :ff ;
9139 :ff ;
9140 :ff ;
9141 :ff ;
9142 :ff ;
9143 :ff ;
9144 :ff ;
9145 :ff ;
9146 :ff ;
9147 :da ;
9148 :b6 ;
9149 :b6 ;
9150 :d6 ;
9151 :b6 ;
9152 :b2 ;
9153 :b6 ;
9154 :b6 ;
9155 :d6 ;
9156 :b6 ;
9157 :b6 ;
9158 :b6 ;
9159 :92 ;
9160 :92 ;
9161 :b2 ;
9162 :91 ;
9163 :92 ;
9164 :b2 ;
9165 :da ;
9166 :da ;
9167 :fa ;
9168 :fa ;
9169 :fa ;
9170 :fa ;
9171 :fa ;
9172 :fa ;
9173 :fa ;
9174 :fa ;
9175 :fa ;
9176 :fa ;
9177 :fa ;
9178 :fa ;
9179 :fa ;
9180 :92 ;
9181 :29 ;
9182 :29 ;
9183 :29 ;
9184 :29 ;
9185 :29 ;
9186 :29 ;
9187 :29 ;
9188 :29 ;
9189 :29 ;
9190 :29 ;
9191 :29 ;
9192 :29 ;
9193 :29 ;
9194 :29 ;
9195 :29 ;
9196 :29 ;
9197 :29 ;
9198 :29 ;
9199 :6e ;
9200 :bb ;
9201 :bb ;
9202 :bb ;
9203 :bb ;
9204 :bb ;
9205 :bb ;
9206 :bb ;
9207 :bb ;
9208 :bb ;
9209 :bb ;
9210 :bb ;
9211 :bb ;
9212 :bb ;
9213 :bb ;
9214 :bb ;
9215 :bb ;
9216 :ff ;
9217 :ff ;
9218 :ff ;
9219 :ff ;
9220 :ff ;
9221 :ff ;
9222 :ff ;
9223 :ff ;
9224 :ff ;
9225 :db ;
9226 :bb ;
9227 :bb ;
9228 :bb ;
9229 :bb ;
9230 :bb ;
9231 :bb ;
9232 :bb ;
9233 :bb ;
9234 :bb ;
9235 :92 ;
9236 :96 ;
9237 :92 ;
9238 :fb ;
9239 :ff ;
9240 :ff ;
9241 :ff ;
9242 :ff ;
9243 :ff ;
9244 :ff ;
9245 :ff ;
9246 :ff ;
9247 :ff ;
9248 :ff ;
9249 :ff ;
9250 :ff ;
9251 :ff ;
9252 :ff ;
9253 :ff ;
9254 :ff ;
9255 :ff ;
9256 :ff ;
9257 :fa ;
9258 :d6 ;
9259 :fa ;
9260 :fa ;
9261 :fa ;
9262 :fa ;
9263 :fa ;
9264 :fa ;
9265 :fa ;
9266 :fa ;
9267 :fa ;
9268 :fa ;
9269 :fa ;
9270 :fa ;
9271 :fa ;
9272 :da ;
9273 :b2 ;
9274 :92 ;
9275 :b2 ;
9276 :b6 ;
9277 :d6 ;
9278 :ff ;
9279 :fb ;
9280 :fb ;
9281 :92 ;
9282 :29 ;
9283 :29 ;
9284 :29 ;
9285 :29 ;
9286 :29 ;
9287 :29 ;
9288 :29 ;
9289 :29 ;
9290 :29 ;
9291 :29 ;
9292 :29 ;
9293 :29 ;
9294 :29 ;
9295 :29 ;
9296 :29 ;
9297 :29 ;
9298 :29 ;
9299 :92 ;
9300 :bb ;
9301 :bb ;
9302 :bb ;
9303 :bb ;
9304 :bb ;
9305 :bb ;
9306 :bb ;
9307 :bb ;
9308 :bb ;
9309 :bb ;
9310 :bb ;
9311 :bb ;
9312 :bb ;
9313 :bb ;
9314 :bb ;
9315 :ff ;
9316 :ff ;
9317 :ff ;
9318 :ff ;
9319 :ff ;
9320 :ff ;
9321 :ff ;
9322 :ff ;
9323 :ff ;
9324 :df ;
9325 :db ;
9326 :db ;
9327 :bb ;
9328 :bb ;
9329 :bb ;
9330 :bb ;
9331 :bb ;
9332 :bb ;
9333 :bb ;
9334 :bb ;
9335 :bb ;
9336 :bb ;
9337 :bb ;
9338 :92 ;
9339 :92 ;
9340 :ff ;
9341 :ff ;
9342 :ff ;
9343 :ff ;
9344 :ff ;
9345 :ff ;
9346 :ff ;
9347 :ff ;
9348 :ff ;
9349 :ff ;
9350 :ff ;
9351 :ff ;
9352 :ff ;
9353 :ff ;
9354 :ff ;
9355 :ff ;
9356 :ff ;
9357 :ff ;
9358 :ff ;
9359 :d6 ;
9360 :fa ;
9361 :fa ;
9362 :fa ;
9363 :fa ;
9364 :fa ;
9365 :fa ;
9366 :fa ;
9367 :fa ;
9368 :d6 ;
9369 :b2 ;
9370 :6d ;
9371 :49 ;
9372 :49 ;
9373 :49 ;
9374 :29 ;
9375 :49 ;
9376 :49 ;
9377 :49 ;
9378 :49 ;
9379 :6e ;
9380 :b6 ;
9381 :ff ;
9382 :6d ;
9383 :29 ;
9384 :29 ;
9385 :29 ;
9386 :29 ;
9387 :29 ;
9388 :29 ;
9389 :29 ;
9390 :29 ;
9391 :29 ;
9392 :29 ;
9393 :29 ;
9394 :29 ;
9395 :29 ;
9396 :29 ;
9397 :29 ;
9398 :29 ;
9399 :4d ;
9400 :bb ;
9401 :bb ;
9402 :bb ;
9403 :bb ;
9404 :bb ;
9405 :bb ;
9406 :bb ;
9407 :bb ;
9408 :bb ;
9409 :bb ;
9410 :bb ;
9411 :bb ;
9412 :db ;
9413 :bb ;
9414 :bb ;
9415 :ff ;
9416 :ff ;
9417 :ff ;
9418 :ff ;
9419 :ff ;
9420 :ff ;
9421 :ff ;
9422 :ff ;
9423 :df ;
9424 :db ;
9425 :bb ;
9426 :bb ;
9427 :bb ;
9428 :bb ;
9429 :bb ;
9430 :bb ;
9431 :bb ;
9432 :bb ;
9433 :bb ;
9434 :bb ;
9435 :bb ;
9436 :bb ;
9437 :bb ;
9438 :bb ;
9439 :bb ;
9440 :92 ;
9441 :da ;
9442 :ff ;
9443 :ff ;
9444 :ff ;
9445 :ff ;
9446 :ff ;
9447 :ff ;
9448 :ff ;
9449 :ff ;
9450 :ff ;
9451 :ff ;
9452 :ff ;
9453 :ff ;
9454 :ff ;
9455 :ff ;
9456 :ff ;
9457 :ff ;
9458 :ff ;
9459 :ff ;
9460 :d6 ;
9461 :d6 ;
9462 :b6 ;
9463 :b2 ;
9464 :b2 ;
9465 :8d ;
9466 :92 ;
9467 :72 ;
9468 :92 ;
9469 :4d ;
9470 :49 ;
9471 :29 ;
9472 :29 ;
9473 :29 ;
9474 :29 ;
9475 :29 ;
9476 :29 ;
9477 :29 ;
9478 :29 ;
9479 :29 ;
9480 :29 ;
9481 :49 ;
9482 :6e ;
9483 :29 ;
9484 :29 ;
9485 :29 ;
9486 :29 ;
9487 :29 ;
9488 :29 ;
9489 :29 ;
9490 :29 ;
9491 :29 ;
9492 :29 ;
9493 :29 ;
9494 :29 ;
9495 :29 ;
9496 :29 ;
9497 :29 ;
9498 :29 ;
9499 :29 ;
9500 :bb ;
9501 :bb ;
9502 :bb ;
9503 :bb ;
9504 :bb ;
9505 :bb ;
9506 :bb ;
9507 :bb ;
9508 :bb ;
9509 :db ;
9510 :bb ;
9511 :df ;
9512 :ff ;
9513 :ff ;
9514 :bb ;
9515 :db ;
9516 :ff ;
9517 :ff ;
9518 :ff ;
9519 :ff ;
9520 :ff ;
9521 :ff ;
9522 :df ;
9523 :db ;
9524 :bb ;
9525 :bb ;
9526 :bb ;
9527 :bb ;
9528 :bb ;
9529 :bb ;
9530 :bb ;
9531 :bb ;
9532 :bb ;
9533 :bb ;
9534 :bb ;
9535 :bb ;
9536 :bb ;
9537 :bb ;
9538 :bb ;
9539 :bb ;
9540 :bb ;
9541 :ff ;
9542 :b6 ;
9543 :ff ;
9544 :ff ;
9545 :ff ;
9546 :ff ;
9547 :db ;
9548 :fb ;
9549 :ff ;
9550 :ff ;
9551 :ff ;
9552 :ff ;
9553 :ff ;
9554 :ff ;
9555 :ff ;
9556 :ff ;
9557 :ff ;
9558 :ff ;
9559 :ff ;
9560 :ff ;
9561 :d6 ;
9562 :bb ;
9563 :bb ;
9564 :bb ;
9565 :bb ;
9566 :bb ;
9567 :bb ;
9568 :49 ;
9569 :29 ;
9570 :29 ;
9571 :29 ;
9572 :29 ;
9573 :29 ;
9574 :29 ;
9575 :29 ;
9576 :29 ;
9577 :29 ;
9578 :29 ;
9579 :29 ;
9580 :29 ;
9581 :29 ;
9582 :29 ;
9583 :29 ;
9584 :29 ;
9585 :29 ;
9586 :29 ;
9587 :29 ;
9588 :29 ;
9589 :29 ;
9590 :29 ;
9591 :29 ;
9592 :29 ;
9593 :29 ;
9594 :29 ;
9595 :29 ;
9596 :29 ;
9597 :29 ;
9598 :29 ;
9599 :29 ;
9600 :bb ;
9601 :bb ;
9602 :bb ;
9603 :bb ;
9604 :bb ;
9605 :bb ;
9606 :bb ;
9607 :bb ;
9608 :db ;
9609 :ff ;
9610 :db ;
9611 :ff ;
9612 :ff ;
9613 :ff ;
9614 :db ;
9615 :ff ;
9616 :ff ;
9617 :ff ;
9618 :ff ;
9619 :ff ;
9620 :ff ;
9621 :df ;
9622 :df ;
9623 :db ;
9624 :bb ;
9625 :bb ;
9626 :bb ;
9627 :bb ;
9628 :bb ;
9629 :bb ;
9630 :bb ;
9631 :bb ;
9632 :bb ;
9633 :bb ;
9634 :bb ;
9635 :bb ;
9636 :bb ;
9637 :bb ;
9638 :bb ;
9639 :bb ;
9640 :df ;
9641 :ff ;
9642 :ff ;
9643 :b6 ;
9644 :ff ;
9645 :ff ;
9646 :ff ;
9647 :ff ;
9648 :da ;
9649 :b6 ;
9650 :db ;
9651 :ff ;
9652 :ff ;
9653 :ff ;
9654 :ff ;
9655 :ff ;
9656 :ff ;
9657 :ff ;
9658 :ff ;
9659 :ff ;
9660 :ff ;
9661 :ff ;
9662 :b6 ;
9663 :bb ;
9664 :bb ;
9665 :bb ;
9666 :bb ;
9667 :bb ;
9668 :29 ;
9669 :29 ;
9670 :29 ;
9671 :29 ;
9672 :29 ;
9673 :29 ;
9674 :29 ;
9675 :29 ;
9676 :29 ;
9677 :29 ;
9678 :29 ;
9679 :29 ;
9680 :29 ;
9681 :29 ;
9682 :29 ;
9683 :29 ;
9684 :29 ;
9685 :29 ;
9686 :29 ;
9687 :29 ;
9688 :29 ;
9689 :29 ;
9690 :29 ;
9691 :29 ;
9692 :29 ;
9693 :29 ;
9694 :29 ;
9695 :29 ;
9696 :29 ;
9697 :29 ;
9698 :29 ;
9699 :29 ;
9700 :bb ;
9701 :bb ;
9702 :bb ;
9703 :bb ;
9704 :bb ;
9705 :bb ;
9706 :bb ;
9707 :bb ;
9708 :ff ;
9709 :ff ;
9710 :ff ;
9711 :ff ;
9712 :ff ;
9713 :ff ;
9714 :ff ;
9715 :ff ;
9716 :ff ;
9717 :ff ;
9718 :ff ;
9719 :ff ;
9720 :ff ;
9721 :df ;
9722 :df ;
9723 :db ;
9724 :db ;
9725 :bb ;
9726 :bb ;
9727 :bb ;
9728 :bb ;
9729 :bb ;
9730 :bb ;
9731 :bb ;
9732 :bb ;
9733 :bb ;
9734 :bb ;
9735 :bb ;
9736 :bb ;
9737 :bb ;
9738 :bb ;
9739 :bb ;
9740 :bb ;
9741 :ff ;
9742 :ff ;
9743 :ff ;
9744 :db ;
9745 :fb ;
9746 :ff ;
9747 :ff ;
9748 :ff ;
9749 :b6 ;
9750 :ff ;
9751 :db ;
9752 :bb ;
9753 :db ;
9754 :db ;
9755 :ff ;
9756 :ff ;
9757 :ff ;
9758 :ff ;
9759 :ff ;
9760 :ff ;
9761 :ff ;
9762 :fb ;
9763 :96 ;
9764 :bb ;
9765 :bb ;
9766 :bb ;
9767 :b7 ;
9768 :72 ;
9769 :29 ;
9770 :29 ;
9771 :29 ;
9772 :29 ;
9773 :29 ;
9774 :29 ;
9775 :29 ;
9776 :29 ;
9777 :29 ;
9778 :29 ;
9779 :29 ;
9780 :29 ;
9781 :29 ;
9782 :29 ;
9783 :29 ;
9784 :29 ;
9785 :29 ;
9786 :29 ;
9787 :29 ;
9788 :29 ;
9789 :29 ;
9790 :29 ;
9791 :29 ;
9792 :29 ;
9793 :29 ;
9794 :29 ;
9795 :29 ;
9796 :29 ;
9797 :29 ;
9798 :29 ;
9799 :29 ;
9800 :bb ;
9801 :bb ;
9802 :bb ;
9803 :bb ;
9804 :bb ;
9805 :bb ;
9806 :bb ;
9807 :db ;
9808 :ff ;
9809 :ff ;
9810 :ff ;
9811 :ff ;
9812 :ff ;
9813 :ff ;
9814 :ff ;
9815 :ff ;
9816 :ff ;
9817 :ff ;
9818 :ff ;
9819 :ff ;
9820 :ff ;
9821 :df ;
9822 :df ;
9823 :db ;
9824 :db ;
9825 :db ;
9826 :bb ;
9827 :bb ;
9828 :bb ;
9829 :bb ;
9830 :bb ;
9831 :bb ;
9832 :bb ;
9833 :bb ;
9834 :bb ;
9835 :bb ;
9836 :bb ;
9837 :db ;
9838 :bb ;
9839 :bb ;
9840 :bb ;
9841 :db ;
9842 :df ;
9843 :ff ;
9844 :ff ;
9845 :db ;
9846 :fb ;
9847 :ff ;
9848 :ff ;
9849 :da ;
9850 :ff ;
9851 :df ;
9852 :db ;
9853 :db ;
9854 :bb ;
9855 :b7 ;
9856 :b7 ;
9857 :db ;
9858 :ff ;
9859 :ff ;
9860 :ff ;
9861 :ff ;
9862 :ff ;
9863 :b6 ;
9864 :b7 ;
9865 :bb ;
9866 :bb ;
9867 :97 ;
9868 :4e ;
9869 :29 ;
9870 :4e ;
9871 :b7 ;
9872 :b6 ;
9873 :4d ;
9874 :92 ;
9875 :29 ;
9876 :29 ;
9877 :29 ;
9878 :29 ;
9879 :29 ;
9880 :29 ;
9881 :29 ;
9882 :29 ;
9883 :29 ;
9884 :29 ;
9885 :29 ;
9886 :29 ;
9887 :29 ;
9888 :29 ;
9889 :29 ;
9890 :29 ;
9891 :29 ;
9892 :29 ;
9893 :29 ;
9894 :29 ;
9895 :29 ;
9896 :29 ;
9897 :29 ;
9898 :29 ;
9899 :29 ;
9900 :bb ;
9901 :bb ;
9902 :bb ;
9903 :bb ;
9904 :bb ;
9905 :bb ;
9906 :bb ;
9907 :ff ;
9908 :ff ;
9909 :ff ;
9910 :ff ;
9911 :ff ;
9912 :ff ;
9913 :ff ;
9914 :ff ;
9915 :ff ;
9916 :ff ;
9917 :ff ;
9918 :ff ;
9919 :ff ;
9920 :ff ;
9921 :ff ;
9922 :ff ;
9923 :df ;
9924 :df ;
9925 :df ;
9926 :db ;
9927 :bb ;
9928 :bb ;
9929 :bb ;
9930 :bb ;
9931 :bb ;
9932 :bb ;
9933 :bb ;
9934 :bb ;
9935 :bb ;
9936 :db ;
9937 :df ;
9938 :db ;
9939 :bb ;
9940 :bb ;
9941 :bb ;
9942 :bb ;
9943 :ff ;
9944 :ff ;
9945 :ff ;
9946 :db ;
9947 :ff ;
9948 :ff ;
9949 :b6 ;
9950 :df ;
9951 :df ;
9952 :db ;
9953 :db ;
9954 :bb ;
9955 :bb ;
9956 :bb ;
9957 :bb ;
9958 :92 ;
9959 :92 ;
9960 :ff ;
9961 :ff ;
9962 :ff ;
9963 :ff ;
9964 :92 ;
9965 :bb ;
9966 :bb ;
9967 :bb ;
9968 :97 ;
9969 :97 ;
9970 :97 ;
9971 :b6 ;
9972 :b6 ;
9973 :bb ;
9974 :92 ;
9975 :29 ;
9976 :29 ;
9977 :29 ;
9978 :29 ;
9979 :29 ;
9980 :29 ;
9981 :29 ;
9982 :29 ;
9983 :29 ;
9984 :29 ;
9985 :29 ;
9986 :29 ;
9987 :29 ;
9988 :29 ;
9989 :29 ;
9990 :29 ;
9991 :29 ;
9992 :29 ;
9993 :29 ;
9994 :29 ;
9995 :29 ;
9996 :29 ;
9997 :29 ;
9998 :29 ;
9999 :29 ;
END;
基于该数据设置对应的IP核rom
原理讲解参考前几章VGA显示字符
3.顶层架构
4.端口描述
clk | 板载时钟(50Mhz) |
rst_n | 复位按键(低电平有效) |
vga_hs | 行脉冲信号 |
vga_vs | 场脉冲信号 |
[7:0] vga_rgb | 颜色数据信号,高三位表示红色, 中间三位表示绿色,低两位表示蓝色 |
[9:0]vga_v | x坐标 |
[9:0]vga_h | y坐标 |
二、代码验证
matlab代码
clear %清零命令窗口
clc %清理工作区
image_array = imread('abc.bmp');%图片输入
[height,width,z] = size(image_array);%100*100*3
red = image_array(:,:, 1);%红色分量提取
ger = image_array(:,:, 2);%绿色分量提取
blu = image_array(:,:, 3);%蓝色分量提取
r = uint32(reshape(red , 1 , height * width));g = uint32(reshape(ger , 1 , height * width));
b = uint32(reshape(blu , 1 , height * width));
% rgb矩阵初始化
rgb = zeros( 1 ,height*width);
% 导入的图片为24bit真彩色图片,每个像素占用24bit,RGB888
% 将RGB888转换为RGB332
% 红色分量右移5位取出高3位, 左移5位作为ROM中RGB数据的第7bit到第5bit
% 绿色分量右移5位取出高3位, 左移2位作为ROM中RGB数据的第4bit到第2bit
% 蓝色分量右移6位取出高2位,左移0位作为ROM中RGB数据的第1bit到第0bit
for i = 1:height*width
rgb(i) = bitshift(bitshift(r(i),-5),5)+bitshift(bitshift(g(i),-5),2)+bitshift(bitshift(b(i),-6),0);
end
fid = fopen ('image.mif', 'w+');%新建文件
%字符串打印
fprintf(fid,'DEPIH = %d;\n',height*width );%深度
fprintf(fid,'WIDIH = 8;\n');%位宽
fprintf(fid,'ADDRESS RADIX = HEX;\n');%地址
fprintf(fid,'DATA RADIX = HEX;\n');%数据
fprintf(fid,'%s\n\t','CONTENT');
fprintf(fid,'%s\n','BEGIN');
for i = 1:height*width
fprintf(fid,'\t%d\t:%x\t;\n',i-1,rgb(i));
end
fprintf(fid,'\tEND;');
fclose(fid);
VGA驱动模块
module vga_ctrl_v1
(
input wire vga_clk ,
input wire sys_rst_n ,
input wire [7:0] pix_data ,
output wire [9:0] pix_x ,
output wire [9:0] pix_y ,
output wire hsync ,
output wire vsync ,
output wire [7:0] vga_rgb
);
parameter H_SYNC = 10'd96 ,//同步
H_BACK = 10'd40 ,//后沿
H_LEFT = 10'd8 ,//左边框
H_VALID = 10'd640,//有效图像
H_RIGHT = 10'd8 ,//右边框
H_FRONT = 10'd8 ,//前沿
H_TOTAL = 10'd800;//同步+后沿+左边框+有效图像+右边框+前沿
parameter V_SYNC = 10'd2 ,//同步
V_BACK = 10'd25 ,//后沿
V_TOP = 10'd8 ,//上边框
V_VALID = 10'd480,//有效图像
V_BOTTOM= 10'd8 ,//底边框
V_FRONT = 10'd2 ,//前沿
V_TOTAL = 10'd525;//总共
reg [9:0] cnt_h ;
reg [9:0] cnt_v ;
wire pix_data_req;
wire rgb_valid ;
always@(posedge vga_clk or negedge sys_rst_n)
if(sys_rst_n == 1'b0)
cnt_h <= 10'd0;
else if(cnt_h == H_TOTAL - 1'b1)
cnt_h <= 10'd0;
else
cnt_h <= cnt_h + 1'b1;
always@(posedge vga_clk or negedge sys_rst_n)
if(sys_rst_n == 1'b0)
cnt_v <= 10'd0;
else if((cnt_v == V_TOTAL - 1'b1) && (cnt_h == H_TOTAL - 1'b1))
cnt_v <= 10'd0;
else if(cnt_h == H_TOTAL - 1'b1)
cnt_v <= cnt_v + 1'b1;
else
cnt_v <= cnt_v;
assign rgb_valid = ((cnt_h >= H_SYNC + H_BACK + H_LEFT)
&& (cnt_h < H_SYNC + H_BACK + H_LEFT + H_VALID)
&&(cnt_v >= V_SYNC + V_BACK + V_TOP)
&&(cnt_v < V_SYNC + V_BACK + V_TOP + V_VALID))
? 1'b1 : 1'b0;
assign pix_data_req = ((cnt_h >= H_SYNC + H_BACK + H_LEFT - 1'b1)
&& (cnt_h < H_SYNC + H_BACK + H_LEFT + H_VALID - 1'b1)
&&(cnt_v >= V_SYNC + V_BACK + V_TOP)
&&(cnt_v < V_SYNC + V_BACK + V_TOP + V_VALID))
? 1'b1 : 1'b0;
assign pix_x = (pix_data_req == 1'b1) ? (cnt_h - (H_SYNC + H_BACK + H_LEFT - 1'b1)) : 10'h3ff;
assign pix_y = (pix_data_req == 1'b1) ? (cnt_v - (V_SYNC + V_BACK + V_TOP)) : 10'h3ff;
assign hsync = (cnt_h <= H_SYNC - 1'b1) ? 1'b1 : 1'b0;
assign vsync = (cnt_v <= V_SYNC - 1'b1) ? 1'b1 : 1'b0;
assign vga_rgb = (rgb_valid == 1'b1) ? pix_data : 8'h0000;
endmodule
数据生成模块
module vga_data(
input clk ,
input rst_n ,
input[9:0] pix_x ,
input[9:0] pix_y ,
output [7:0] vga_rgb_t
);
parameter show_h = 10'd110,//定位点X坐标
show_v = 10'd100;//定位点Y坐标
parameter pot_h = 10'd120,//定位点X坐标
pot_v = 10'd100;//定位点Y坐标
parameter show_chan = 10'd256,//图片长度
show_kuan = 10'd32;//图片宽度
parameter chan = 10'd100,//图片长度
kuan = 10'd100;//图片宽度
parameter show_1_rgb = 8'b000_000_00,//背景
show_0_rgb = 8'b111_100_00;//图片颜色
reg [255:0] char [31:0];//字符存储
wire[9:0] char_x;//字符坐标
wire[9:0] char_y;
wire rd_en ;//ip核读使能
reg[13:0]addr;//ip核地址
wire[7:0]top_vga_rgb;//图片数据
reg[7:0]vga_rgb;//字符背景
assign char_x =((pix_x >= show_h)&&(pix_x < (show_h + show_chan)))&&((pix_y >= show_v)&&(pix_y <(show_v + show_kuan)))?(pix_x - show_h):10'h3ff;
assign char_y =((pix_x >= show_h)&&(pix_x < (show_h + show_chan)))&&((pix_y >= show_v)&&(pix_y <(show_v + show_kuan)))?(pix_y - show_v):10'h3ff;
always@(posedge clk)//显示背景
begin
char[0 ] <= 256'h0000000000000000000000000001000000200000000100000020000000010000;
char[1 ] <= 256'h0020000000010000003FFFFFFFFF0000003FFFFFFFFF0000003FFFFFFFFF0000;
char[2 ] <= 256'h003FFFFFFFFF0000003000180001000000300018000100000030001800010000;
char[3 ] <= 256'h0030001800010000003000180000000000300018000000000030001800000000;
char[4 ] <= 256'h003000180000000000300018000000000030003C000000000030007E00000000;
char[5 ] <= 256'h003803FFE00000000038000000000000003C000000000000003E000000000000;
char[6 ] <= 256'h003F8000000000000003C0000000000000006000000000000000000000000000;
char[7 ] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[8 ] <= 256'h0000000000000000000000000001000000200000000100000020000000010000;
char[9 ] <= 256'h0020000000010000003FFFFFFFFF0000003FFFFFFFFF0000003FFFFFFFFF0000;
char[10 ] <= 256'h003FFFFFFFFF0000003000060001000000300006000100000030000600010000;
char[11 ] <= 256'h0030000600010000003000060000000000300006000000000030000600000000;
char[12 ] <= 256'h00300006000000000038000E000000000038000E00000000001C001C00000000;
char[13 ] <= 256'h001E003C00000000000F80F800000000000FFFF8000000000007FFF000000000;
char[14 ] <= 256'h0001FFC00000000000007F000000000000000000000000000000000000000000;
char[15 ] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[16 ] <= 256'h00000000000000000000000000000000000000FFE000000000000FFFFE000000;
char[17 ] <= 256'h00007FFFFF8000000000FFFFFFE000000003FE001FF800000007E00000FC0000;
char[18 ] <= 256'h000F0000003C0000001E0000000E0000001C0000000600000038000000070000;
char[19 ] <= 256'h0030000000030000003000000003000000300000000300000030000100030000;
char[20 ] <= 256'h00300001000300000018000100060000001C0001800E0000001E0001FFFC0000;
char[21 ] <= 256'h001F8001FFF80000001FF001FFF8000000003801FFF800000000000180000000;
char[22 ] <= 256'h0000000100000000000000010000000000000001000000000000000000000000;
char[23 ] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[24 ] <= 256'h00000000000100000000000000010000000000000003000000000000001F0000;
char[25 ] <= 256'h0000000001FF0000000000001FE3000000000001FC0100000000001FC0010000;
char[26 ] <= 256'h000001FCC000000000001FC0C00000000001FC00C0000000001FC000C0000000;
char[27 ] <= 256'h003E0000C0000000007F0000C0000000007FF000C0000000003FFF00C0000000;
char[28 ] <= 256'h0001FFF0C000000000001FFFC0000000000001FFFC0100000000001FFFC10000;
char[29 ] <= 256'h00000001FFFF0000000000000FFF00000000000000FF000000000000000F0000;
char[30 ] <= 256'h0000000000030000000000000001000000000000000100000000000000000000;
char[31 ] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
end
always @(posedge clk,negedge rst_n)//背景显示区域
begin
if(rst_n == 0)
vga_rgb <= show_1_rgb;
else
if( ((pix_x >= show_h - 1'b1)&&(pix_x < (show_h + show_chan - 1'b1)))
&& ((pix_y >= show_v )&&(pix_y <(show_v + show_kuan)))
&& (char[char_y][10'd255 - char_x] == 1'b1))
vga_rgb <= show_0_rgb;
else
vga_rgb <= show_1_rgb;
end
assign rd_en = (((pix_x >= pot_h)&&(pix_x < pot_h + chan))&&((pix_y >= pot_v)&&(pix_y <pot_v + kuan)))? 1'b1:1'b0;//ip核图片显示区域
always @(posedge clk,negedge rst_n)//背景显示区域
begin
if(rst_n == 0)
addr <= 14'd0;
else
if(addr == 14'd9999)
addr <= 14'd0;
else
if(rd_en == 1'b1)
addr <= addr + 14'd1;
else
addr <= addr;
end
my_rom my_rom_inst (
.address ( addr ),
.clock ( clk ),
.rden ( rd_en ),
.q ( top_vga_rgb )
);
assign vga_rgb_t = (rd_en == 1'b1)? top_vga_rgb:vga_rgb;
endmodule
顶层连线
module vga_driver(
input clk ,
input rst_n ,
output vga_hs ,//行脉冲信号,由列计数器控制产生
output vga_vs ,//场脉冲信号,由行计数器控制产生
output[9:0] vga_h ,//坐标
output[9:0] vga_v ,//坐标
output[7:0] vga_rgb/*颜色数据信号,高三位表示红色,
中间三位表示绿色,低两位表示蓝色*/
);
wire vga_clk;//25.2mhz
wire locked;
wire [7:0]in_rgb;
my_pll my_pll_inst (
.areset ( ~rst_n ),
.inclk0 ( clk ),
.c0 ( vga_clk ),
.locked ( locked )
);
vga_ctrl_v1 vga_ctrl_inst(
.vga_clk (vga_clk) ,//vga_clk
.sys_rst_n (locked) ,
.pix_data (in_rgb) ,
.hsync (vga_hs) ,
.vsync (vga_vs) ,
.pix_x (vga_h) ,
.pix_y (vga_v) ,
.vga_rgb (vga_rgb)
);
vga_data vga_data_inst(
.clk (vga_clk ) ,
.rst_n (locked ) ,
.pix_x (vga_h ) ,
.pix_y (vga_v ) ,
.vga_rgb_t(in_rgb)
);
endmodule
仿真代码
`timescale 1ns/1ps
module vga_driver_tb;
reg clk ;
reg rst_n ;
wire vga_hs;
wire vga_vs;
wire[9:0] vga_h ;//坐标
wire[9:0] vga_v ;//坐标
wire[7:0] vga_rgb;
vga_driver vga_driver_instx(
.clk (clk) ,
.rst_n (rst_n) ,
.vga_hs (vga_hs) ,//行脉冲信号,由列计数器控制产生
.vga_vs (vga_vs) ,//场脉冲信号,由行计数器控制产生
.vga_h (vga_h) ,
.vga_v (vga_v) ,
.vga_rgb (vga_rgb)/*颜色数据信号,高三位表示红色,
中间三位表示绿色,低两位表示蓝色*/
);
initial clk = 0;
always #10 clk = ~clk;
initial begin
rst_n = 0;
#200
rst_n = 1;
#1000
$stop;
end
endmodule
三、仿真验证
进行仿真,数据输出正常,放大波形图,调出中间数据。
放大波形图,对该位置的数据进行追踪
此时vga_rgb数据输出,vga_rgb数据来自ip核rom,由此,此时Vga输出的数据来自ROM
参考资料
IP核ROM调用及验证