vscode插件的开发过程记录(一)

news2024/10/7 6:46:13

前言
本文是关于visual studio code软件上自定义插件的开发记录,将从头记录本人开发的过程,虽然网上也有很多文章,但个人在实践的过程还是会遇到不一样的问题,所以记录下来,以便于后期参考。

前期准备:
1、node.js(我用的18.18.2)
2、visual studio code

1. 安装插件开发脚手架;

  • 首先,我们执行以下命令全局安装 Yeoman 和 VS Code Extension Generator:

npm install -g yo generator-code

2. 使用插件开发脚手架创建插件项目;

yo code

  • 根据脚手架提示选择和填入相关信息:

  • 在选项选择完成后,会进行项目依赖的安装:
  • 项目依赖安装完成后,可以根据提示打开插件项目:

下面开始本地测试该插件:

注意:先打开package.json里面看vscode的版本

"engines": {
    "vscode": "^1.90.0"
  }

而查看我的vscode -> Help -> About,  可以看到我的vscode才1.82.2,故需要修改package.json里面的版本(否则调试搜不到该插件)

"engines": {
    "vscode": "^1.82.0"
  }

然后根据提示(vsc-extension-quickstart.md)开始调试该插件项目

## Get up and running straight away

* Press `F5` to open a new window with your extension loaded.
* Run your command from the command palette by pressing (`Ctrl+Shift+P` or `Cmd+Shift+P` on Mac) and typing `Hello World`.
* Set breakpoints in your code inside `src/extension.ts` to debug your extension.
* Find output from your extension in the debug console.

1. 在vscode该插件项目中,按F5(以windows为例),会自动打开调试窗口,在调试窗口,可以自行打开任意项目

2.在该界面按键 Ctrl+Shift+P,搜索 Hello World,即脚手架生成的插件名称,然后回车

可以看到右下角有出现提示即表示正常运行

对应的是extension.ts中

到此,脚手架生成的初始模板已生效,下面就开始自己的插件开发旅程!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1889581.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

基于SpringCloud的智慧养老平台的设计与实现

您好!我是专注于计算机技术研究的码农小野。如果您对CSGO赛事管理系统感兴趣或有相关开发需求,欢迎随时联系我。 Java 数据库 MySQL 技术 SpringCloud, B/S架构 工具 Eclipse, MySQL Workbench, SpringBoot 系统展示 首页 老人管理界面 活动信息…

VBA使用ActiveWindow.Zoom调整页面显示百分比

前言 本节会通过VBA实现自动调整Excel页面显示的百分比功能 Zoom属性 1.调整当前工作表 示例:调整当前sheet显示比例为90% Sub AvtivwWindowZoom() ActiveWindow.Zoom 90 End Sub2.调整其他工作表 当一个Excel文件存在多张工作表Sheet时,又需要调…

这所985院校不保护一志愿,18人不合格被刷!西北农林科技大学计算机考研考情分析!

西北农林科技大学(Northwest A&F University),简称“西农”或“西北农林”,始创于1934年,位于中华人民共和国陕西省杨凌示范区。1999年9月11日由同处杨凌的原西北农业大学、西北林学院、中国科学院水利部水土保持研究所、水利部西北水利科…

Springboot 校园安全通事件报告小程序系统-计算机毕业设计源码02445

Springboot 校园安全通事件报告小程序系统 摘 要 随着中国经济的飞速增长,消费者的智能化水平不断提高,许多智能手机和相关的软件正在得到更多的关注和支持。其中,校园安全通事件报告小程序系统更是深得消费者的喜爱,它的出现极大…

MES系统如何进行数据采集?

在现代化制造业中,MES系统扮演着至关重要的角色。其中,对生产设备进行数据采集是MES系统不可或缺的一部分。数据采集不仅能够实时监控设备的运行状态,还能提供准确的生产数据,帮助企业实现精细化管理和优化生产流程。 通过实时采…

动态规划精品课 2024.6.26-24.7.3

一、斐波那契数列模型 0、第N个泰波那契数 class Solution {public int tribonacci(int n) {// 1. 创建 dp 表// 2. 初始化// 3. 填表// 4. 返回结果// 处理边界情况if (n 0)return 0;if (n 1 || n 2)return 1;int[] dp new int[n 1];dp[0] 0;dp[1] dp[2] 1;for (int i…

GPU云渲染平台到底怎么选?这六点要注意!

随着对高效计算和图像处理需求的增加,GPU云渲染平台成为许多行业的关键工具。尤其是对影视动画制作领域来说,选择一个合适的GPU云渲染平台可以大大提升工作效率。然而,面对市场上众多的选择,如何找到适合自己的GPU云渲染平台呢&am…

【信即是功夫】人皆有良知在心中

良知就是做人、做事的准则,良知就是天理;实实在在地自信 每个人心中都有一个圣人,只因自己不能真的相信,把这个圣人埋没了 良知在每个人心中,无论你如何做,也无法泯灭它。即使身为盗贼的人,他…

8款你不一定知道的良心软件!

AI视频生成:小说文案智能分镜智能识别角色和场景批量Ai绘图自动配音添加音乐一键合成视频https://aitools.jurilu.com/我们使用一些流行的软件的时候,往往会忽略一些功能非常强大的软件,因为这些软件的众 多,都因为看不见而丢失&a…

百度最新升级的产品,我实测了下,好不好用拉出来遛遛

百度一系列产品又又又要上新了?敖丙我作为它的老用户,从内测到现在可以说是一直关注着,听说它有最新进展,我火速端起小板凳和瓜子去围观了下,这不新鲜热乎的实测就来了,好不好用咱拉出来遛遛。。 说起来“…

力扣404周赛 T1/T2/T3 枚举/动态规划/数组/模拟

博客主页:誓则盟约系列专栏:IT竞赛 专栏关注博主,后期持续更新系列文章如果有错误感谢请大家批评指出,及时修改感谢大家点赞👍收藏⭐评论✍ 3200.三角形的最大高度【简单】 题目: 给你两个整数 red 和 b…

如何在 Windows 10 或 11 中恢复已删除的文件

您在 Windows PC 上找不到某个文件,并且您觉得可能已将其删除。我们都遇到过这种情况。但与其抱怨,不如尝试恢复它。假设您已经搜索过回收站,但一无所获,那么是时候求助于一个好的恢复工具了。 微软提供了自己的命令行恢复程序&a…

Omniverse、Isaac Sim、Isaac Lab入门必会之 Nucleus 部署

新手入门Omniverse、Isaac Sim、Isaac Lab时经常发现,要想跑通例程,总是usd等资源加载不出来,软件傻傻的进程阻塞卡在那里,点两下就崩溃,这对新手来说非常的不友好,这都是由于没有安装 Nucleus 或者 Nucle…

[论文笔记] gumbel-softmax 实现离散分布可微 + torch代码+ 原理 + 证明

gumbel-softmax如何实现离散分布可微+torch代码+原理+证明_gumbel softmax-CSDN博客 https://zhuanlan.zhihu.com/p/678930684 gumbelsoftmax 是为了防止丢失其他类别的梯度。 相当于不止选择概率大的那个类别被更新,其他类别的梯度也被更新了。 def sample_gumbel(shape, …

删除keil!VSvode+eide+jlink(stlink)配置keil工程,调试使用cortex-debug+openocd

文章目录 目的前期准备1.软件安装2.VScode安装3.VScode插件安装4.安装ARM-GCC交叉编译器 工程导入程序编译jlink在线调试部分常见问题更改为GCC 编译器更改为stlinkopencd一些tips调试变量查看构建器选项配置 目的 提示:这里可以添加技术概要 vscode代替keil进行程…

时间序列预测实战——Transformer模型实现长期预测并可视化结果(附代码+数据集+原理介绍)

论文地址->Transformer官方论文地址 官方代码地址->暂时还没有找到有官方的Transformer用于时间序列预测的代码地址 一、本文介绍 这篇文章给大家带来是Transformer在时间序列预测上的应用,这种模型最初是为了处理自然语言处理(NLP)…

多微信运营管理方案

微信作为一款社交通讯软件,已经成为人们日常生活中不可缺少的工具。不仅个人,很多企业都用微信来联系客户、维护客户和营销,这自然而然就会有很多微信账号、手机也多,那管理起来就会带来很多的不便,而多微信私域管理系…

CS2黑屏、闪退、掉线的解决方法一览

历时近一年,V社终于针对CS2进行了实质性更新,而不是做一些华而不实的升级。本次更新V社带来了五张全新地图,让各位可以在游戏内尽情享受混战和新地图带来的新乐趣。不过有很多玩家吐槽,自己在游玩CS2时,老遇到黑屏、闪…

wasm的逆向之旅一

目录 概要 技术名词解释 1、WebAssembly 指令集概览 1)基本结构 2)数据类型 3)模块和函数 4)指令概览 1.i32 整数运算 2.i32 浮点数运算(用法同整数运算) 3.逻辑运算和位移(用法同整数运算) 4.内存访问指令 6.控制流指令 7.模块和导出指令 8.其他常…

计组_机器指令

2024.06.13:计算机组成原理机器指令学习笔记 第13节 机器指令 3.1 指令的作用3.2 指令的格式3.2.1 操作码字段(用户要干什么)3.2.2 (操作数)地址码字段(对谁进行操作)3.2.3 格式分类*(一步步优化&#xff0…