必刷!!软考程序员100道高频题(含知识点解析),轻松45+

news2024/7/6 19:51:36

软考已经迫在眉睫,趁着最后的时间赶紧冲刺起来。

今天给大家整理了——软考程序员经典100道高频题(含解析),涵盖大部分知识点,有PDF版,可打印出来考一考,说不定考试时候就能遇到很多熟悉的“老朋友”。

 

1、某DRAM芯片的存储容量为512K×16位,则该芯片的地址线和数据线宽度分别为(  )。
A、9,16
B、19,16
C、10,16
D、512,16
试题答案:
B
试题解析:
本题考查计算机系统基础知识内存编址相关计算问题。
512K=29K=29×210=219,即存储单元用19位编址,因此地址线宽度为19,
16位表示的就是数据线宽度为16。
综合分析,本题选B。

2、对于值不为0的整型变量X,(  )运算可得到0。
A、X与X进行按位与
B、X与X进行按位或
C、X与X进行按位异或
D、对X进行按位非
试题答案:
C
试题解析:
本题考查数据表示和运算的基础知识。
若X不为0,则其二进制表示中必然有1,下面分别分析对X进行按位的四种运算。
进行按位与运算时,结果中对应位仍然为1,即X与X的结果为X,
进行按位或运算时,运算结果也是X。
而按位与运算或者是或运算的时候,其X的结果都不为0,其逻辑值就为1(真),所以AB排除掉。
异或运算是指两个运算对象相同时,结果为0,不同时结果为1,这样就导致结果位的每一位都为0,结果为0(取值为假)
最好按位进行非运算,就是对每个数据进行取反,这种情况是说不定的,只有当数值全为11111111的时候,结果才为0。
综合分析,本题选C。

3、已知二进制正整数X=1010111,若码长为8,则[-X]补表示为(  )。
A、01010111
B、11010111
C、10101001
D、10101000
试题答案:
C
试题解析:
本题考查数据表示和运算的基础知识。
X是正整数,那么-X就是负整数。负整数的原码表示为符号位为1,数值位为绝对值。码长为8时,
根据正数和负数的原码都表示相同,可以得出[-X]原=11010111。
负整数的补码表示等于其原码表示的数值位部分各位取反之后,末位加1。因此得出,
[-X]反=10101000,[-X]补=10101001。
综合分析,本题选C。

4、以下各进制正整数中,值最大的是(  )。
A、(10101101)2
B、(264)8
C、(155)10
D、(AE)16
试题答案:
B
试题解析:
本题考查数据表示和运算的基础知识。
将各进制数值统一为同一进制后进行比较,统一转换成十进制。
A选项(10101101)2=27+25+23+22+20=128+32+8+4+1=173
B选项(264)8-2×(82)+6×(81)+4×(80)=128+48+4=180
C选项(155)10=150
D选(AE)16=10×(161)+14×(160)=160+14=174
综合分析,本题答案选B,其值最大。

5、以下关于计算机系统总线的说法中,错误的是(  )。
A、地址总线宽度决定了CPU能直接访问的内存单元的个数
B、数据总线的宽度决定了在主存储器和CPU之间数据交换的效率
C、地址总线的宽度越小,则允许直接访问主存储器的物理空间越大
D、数据总线的宽度决定了通过它能并行传递的二进制位数
试题答案:
C
试题解析:
本题考查计算机系统基础知识。
在计算机中,总线宽度分为地址总线宽度和数据总线宽度。其中,数据总线的宽度(传输线根数)决定了通过它一次所能传递的二进制位数。显然,数据总线越宽,则每次传递的位数越多,因而,数据总线的宽度决定了在主存储器和CPU之间数据交换的效率。地址总线宽度决定了CPU能够使用多大容量的主存储器,即地址总线宽度决定了CPU能直接访问的内存单元的个数。
综合分析,ABD选项描述都是正确的,C选项描述错误,总线宽度越小,则允许直接访问主存储器的物理空间越小,所以本题答案选C。

6、(  )是指CPU一次能并行处理的二进制位数,是CPU的主要技术指标之一。
A、字节
B、带宽
C、位宽
D、字长
试题答案:
D
试题解析:
本题考查计算机性能方面的基础知识。
字长是CPU一次能并行处理的二进制数据的位数,字长越长,数据的运算精度也就越高,计算机的处理能力就越强。
综合分析,本题选D。

7、CPU向外设(例如打印机)输出数据的速度很快,会导致外设不能及时处理收到的数据,采用(  )可解决这种工作速度不匹配的矛盾。
A、并发技术
B、缓冲技术
C、虚拟技术
D、流水技术
试题答案:
B
试题解析:
本题考查计算机系统基础知识。
工作速度差异大的设备之间交换数据时,常采用缓冲技术解决速度不匹配的问题。
综合分析,本题选B。

8、在寄存器间接寻址方式下,操作数存放在(  )中。
A、栈空间
B、指令寄存器
C、主存单元
D、通用寄存器
试题答案:
C
试题解析:
本题考查计算机系统基础知识。
寄存器间接寻址是将指定的寄存器内容作为地址,由该地址所指的单元内容作为操作数,即将数据在内存单元的地址存放在寄存器中。
而寄存器寻址就是操作数存放在指定的寄存器中,寄存器间接寻址是将操作数地址放在寄存器中,操作数放在主存单元中。
综合分析,故本题选C。

9、CPU包括运算器、控制器等部件,其中运算器的核心部件是(  )。
A、数据总线
B、算术逻辑单元
C、状态寄存器
D、累加寄存器
试题答案:
B
试题解析:
本题考查计算机系统基础知识。
算术逻辑单元是指能实现多组算术运算与逻辑运算的组合逻辑电路,是CPU中运算器的核心部件。
数据总线不属于运算器部件的内容,而状态寄存器和累加寄存器都负责执行其响应的功能,不属于运算器核心的部件。
综合分析,本题选B。

10、设在某C程序中有以下变量声明(定义)和初始化语句,那么值为0(即逻辑假)的表达式为()。
intx=5,y=0;charz='0';
A、!(xlly)&&z
B、(x||!y)&&(!x||z)
C、xlly&&z
D、(x&&y)ll(x&&z)
试题答案:
A
试题解析:
本题考查程序语言基础知识。
在C语言中,运算符“&&”表示“逻辑与”运算,运算符“||”表示“逻辑或”运算,对于非0的值都看作逻辑真。
因此,(x||y)的值为真(即1),字符变量z设置初始值为字符'0',其ASCII码值为48,因此z的值为非0,由此可知,选项A的逻辑表达式!(x||y)&&z等于!1&&48,结果为0(逻辑假);
选项B的逻辑表达式(x||!y)&&(!x|z)等于(5||1)&&(0||48),结果为1(逻辑真);
选项C的逻辑表达式x||y&&z,短路计算方式下由x的值为非0可确定整个表达式的结果为非0,即1(逻辑真);
选项D(x&&y)即(5&&0)的值等于0,而(x&&z)即(5&&48)的值等于非0(即1),因此(x&&y)||(x&&z)的值等于1。
综合分析,本题选A。

11、设码长为8,则采用原码可表示的整数范围是().
A、-128~127
B、-127~127
C、-2-128~2127
D、-2-127~2127
试题答案:
B
试题解析:
本题考查计算机系统数据表示的基础知识。
原码表示的规则为:最高位为符号位,0正1负。
取值范围为:-(2n-1)~(2n-1),码长为8时数值位的最大绝对值为27-1(即127),因此数值范围为-127~127。
综合分析,本题选B。

12、(  )是一种采用非线性网状结构对块状多媒体信息(包括文本、图像、视频等)进行组织和管理的技术。
A、超媒体
B、富媒体
C、自媒体
D、流媒体
试题答案:
A
试题解析:
本题考查多媒体技术基础知识。
超媒体是一种采用非线性网状结构对块状多媒体信息(包括文本、图像、视频等)进行组织和管理的技术。
富媒体是指具有动画、声音、视频和交互性的信息传播方式。
自媒体是指普通大众通过网络等途径向外发布自身事实和新闻的传播方式。
流媒体也称为流式媒体,是通过流式传输的方式在网络上播放媒体格式,是边传边播的媒体,实际指一种新的媒体传送方式,有声音流、视频流、文本流、图像流、动画流等,而非一种新的媒体。
综合分析,本题选A,这是对超媒体的描述。

13、(  )是主要依靠软件生成而无法通过扫描获得的图像。
A、点阵图
B、位图
C、像素图
D、矢量图
试题答案:
D
试题解析:
本题考查多媒体技术基础知识。
矢量图根据几何特性来绘制图形,矢量可以是一个点或一条线,矢量图只能用软件生成。这种类型的图像文件包含独立的分离图像,可以无限制地重新组合,因此缩放后不失真。
而位图(像素图、点阵图)基本元素是像素,用若干二进制位来指定像素的颜色、亮度和属性。放大后会失真。存储空间大,需进行压缩。
综合分析,本题选D。

14、在微型计算机系统中,显示器属于一种()。
A、交换媒体
B、传输媒体
C、表现媒体
D、存储媒体
试题答案:
C
试题解析:
本题考查多媒体基础知识。
显示器属于输入输出设备,属于表现媒体。
交换媒体包含存储和传输媒体。
传输媒体主要用于信息传输,比方说:光纤
存储媒体主要用于存储信息,比方说:磁盘
综合分析,本题选C。

15、使用图像扫描仪以300DPI的分辨率扫描一幅3英寸X3英寸的图片,可以得到(  )像素的数字图像。
A、100X100
B、300X300
C、600X600
D、900X900
试题答案:
D
试题解析:
本题考查多媒体的基础知识。
分辨率为300DPI(每英寸)时,3英寸为3X300=900像素。


16、设语音信号的采样频率为16KHZ,量化精度为10位,单声道输出,则每小时的数据量约为(  )MB。
A、160
B、80
C、68.66
D、9.37
试题答案:
C
试题解析:
音频容量计算:每秒容量=采样频率(Hz)×量化/采样位数(位)×声道数÷8
1KHz=1000Hz,1MB=1024KB=1024*1024B
所以该题结果为:16*1000*10*1/8*60*60/1024/1024≈68.66MB

17、以下文件类型中,(  )表示视频文件。
A、mp3
B、mp4
C、jpg
D、gif
试题答案:
B
试题解析:
本题考查多媒体的基本知识。
主要的视频格式有:.avi、.mov/.qt、.rm/.rmvb、.mpeg/.mpg/.dat/.mp4、.fli/.foc等,其中:.gif为动态图像格式。

18、在操作系统设备管理中,说法正确的是(  )。
A、扫描仪属于独占设备,可以采用时间片轮转分配算法
B、扫描仪属于共享设备,可以采用多队列优先分配算法
C、打印机属于独占设备,不能采用时间片轮转分配算法
D、打印机属于共享设备,可以采用先来先服务分配算法
试题答案:
C
试题解析:
本题考查操作系统的基础知识。
独占设备和共享设备的区别为:
独享设备:即不能共享的设备,一段时间只能由一个作业独占。如打印机、读卡机、磁带机等。所有字符型输入输出设备原则上都应是独享设备。
共享设备:可由若干作业同时共享的设备,如磁盘机等。共享分配技术保证多个进程可以同时方便地直接存取一台共享设备。共享提高了设备的利用率。
经过分析,打印机和扫描仪都属于独占设备,B和D选项被排除。
操作系统对于独占设备是不能采用时间片轮转分配算法的,若让用户轮流使用,会产生错误。例如,两个以上(包括两个)用户同时都申请使用打印机,操作系统采用时间片轮转分配算法让每个用户轮流地使用打印机,会导致不同用户信息打在同一页面上无法识别等错误。
综合分析,本题选C。

......

篇幅有限,有需要PDF完整版或更多资料的朋友,可以自行获取↓↓↓

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1689466.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

5.23.9 TransUNet:Transformers 为医学图像分割提供强大的编码器

TransUNet,它兼具 Transformers 和 U-Net 的优点,作为医学图像分割的强大替代方案。一方面,Transformer 对来自卷积神经网络 (CNN) 特征图的标记化图像块进行编码,作为用于提取全局上下文的输入序列。另一方面,解码器对…

git分支常用命令

最近在用git提交代码的时候&#xff0c;发现有些命令不是很会&#xff0c;先记录几个常用分支命令&#xff0c;后续再补充&#xff0c;在执行git push命令提交代码的时候遇到报错&#xff0c;一并记录下。 1.git常用命令 新建分支&#xff1a; git branch <分支名称> 比…

Internet动态路由选择—RIP与OSPF

刚做完网络层动态路由选择的实验&#xff0c;写下此篇记录实验过程&#xff0c;巩固学习成果。 参考书目&#xff1a;《计算机网络》北京理工大学出版社-刘阳老师编 路由选择可分为两种策略&#xff1a; - 静态路由选择策略 - 动态路由选择策略 静态路由即管理员手动配置路由…

Python3 笔记:IDLE的几个基本设置

1、设置字体&#xff1a; Options > Configure IDLE > Fonts 2、设置文字颜色&#xff08;设置高亮&#xff09;&#xff1a; Options > Configure IDLE > Highlights 3、设置背景颜色&#xff1a; Options > Configure IDLE > Highlights 4、设置窗口&a…

Centos7环境下MySQL5.7.38 安装开源审计插件 mysql-audit

MySQL安装开源审计插件 mysql-audit MySQL 5.7.38安装审计插件 mysql-audit安装MySQL1.查看Linux服务器版本和glibc版本2.根据自己的系统下载对应的MySQL版本&#xff0c;由于mysql-audit并不支持所有版本的MySQL&#xff0c;所以在确定MySQL版本之前请注意下插件支持的MySQL版…

hcip—VLAN实验

目录 实验拓扑&#xff1a; 实验目的&#xff1a; 实验思路&#xff1a; 实验步骤&#xff1a; 1.创建VLAN 2.将接口放进相应VLAN当中&#xff0c;并配置接口类型&#xff08;hybrid口配置撕tag表&#xff09; 3.配置路由器接口 4.配置DHCP服务 pc1 ping pc4的过程分析…

在Spring Boot项目中通过自定义注解实现多数据源以及主备数据库切换

在现代的企业应用开发中&#xff0c;使用多数据源是一个常见的需求。尤其在关键应用中&#xff0c;设置主备数据库可以提高系统的可靠性和可用性。在这篇博客中&#xff0c;我将展示如何在Spring Boot项目中通过自定义注解实现多数据源以及主备数据库切换。 在此说明&#xff…

VSCODE gcc运行多个.c文件

一、简介 很多时候&#xff0c;开发者需要使用VSCODE进行C语言算法验证。而VSCODE的gcc编译&#xff0c;默认是只编译本文件的内容&#xff0c;其他.c文件是不参与编译的。这就给开发者带来很大的困扰&#xff0c;因为开发者不可能把所有的算法都写在一个.c文件&#xff0c;特别…

arXiv AI 综述列表(2024.05.20~2024.05.24)

公众号&#xff1a;EDPJ&#xff08;进 Q 交流群&#xff1a;922230617 或加 VX&#xff1a;CV_EDPJ 进 V 交流群&#xff09; 每周末更新&#xff0c;完整版进群获取。 Q 群在群文件&#xff0c;VX 群每周末更新。 目录 1. Beyond Traditional Single Object Tracking: A …

在洁净实验室设计装修中怎么选择合适实验室家具?

在现代科学研究和技术开发中&#xff0c;洁净实验室装修设计成为了确保实验准确性和安全性的重要因素。洁净实验室需要提供一个无尘、无菌、受控的环境&#xff0c;而在洁净实验室装修设计这个过程中&#xff0c;如何选择合适的实验室家具就显得尤为重要&#xff0c;因为它直接…

【NumPy】NumPy实战入门:索引与切片(sort、argsort、searchsorted)详解

&#x1f9d1; 博主简介&#xff1a;阿里巴巴嵌入式技术专家&#xff0c;深耕嵌入式人工智能领域&#xff0c;具备多年的嵌入式硬件产品研发管理经验。 &#x1f4d2; 博客介绍&#xff1a;分享嵌入式开发领域的相关知识、经验、思考和感悟&#xff0c;欢迎关注。提供嵌入式方向…

【静态分析】在springboot使用太阿(Tai-e)03

参考&#xff1a;使用太阿&#xff08;Tai-e&#xff09;进行静态代码安全分析&#xff08;spring-boot篇三&#xff09; - 先知社区 1. JavaApi 提取 1.1 分析 预期是提取controller提供的对外API&#xff0c;例如下图中的/sqli/jdbc/vuln 先看一下如何用tai-e去获取router…

AI+BI?国内期待值最高的4款智能问答类BI产品测评

AI大模型的这股风终是吹到了数据分析圈。与传统BI相比&#xff0c;问答BI进一步降低了数据获取门槛&#xff0c;通过对话的方式就可以访问数据并得出相应结论&#xff0c;更方便业务人员快速上手分析数据&#xff01; 问答BI&#xff08;Q&A BI&#xff09;在数据分析领域…

平板如何实现无纸化会议

为了实现高效的无纸化会议&#xff0c;连通宝可以是在内部网络部署&#xff0c;那么&#xff0c;平板如何实现无纸化会议&#xff1f; 1. 服务器配置&#xff1a; 部署专用无纸化会议系统服务器&#xff08;如rhub无纸化会议服务器&#xff09;至组织的内部网络中。确保该服务…

18.SpringCloud Gateway

简介 SpringCloud Gateway是spingcloud家族的产品&#xff0c;使用netty实现的高性能服务网关&#xff0c;用于替换netflix公司的zuul网关实现。 参考地址&#xff1a; https://spring.io/projects/spring-cloud 术语 工作原理 Route Predicate Factories GatewayFilte…

LeetCode刷题之HOT100之多数元素

2024/5/21 起床走到阳台&#xff0c;外面绵柔细雨&#xff0c;手探出去&#xff0c;似乎感受不到。刚到实验室&#xff0c;窗外声音放大&#xff0c;雨大了。昨天的两题任务中断了&#xff0c;由于下雨加晚上有课。这样似乎也好&#xff0c;不让我有一种被强迫的感觉&#xff0…

张量 t-product 积(matlab代码)

参考文献&#xff1a;Tensor Robust Principal Component Analysis with a New Tensor Nuclear Norm 首先是文章2.3节中 t-product 的定义&#xff1a; 块循环矩阵&#xff1a; 参考知乎博主的例子及代码&#xff1a;&#xff08;t-product与t-QR分解&#xff0c;另一篇傅里叶对…

Springboot 多环境切换 方法

准备工作 假设系统中有以下几个yml文件&#xff1a; application.ymlapplication-dev.ymlapplication-prode.ymlapplication-test.yml 方法一&#xff1a;在Active Profiles:输入dev 启动效果&#xff1a; 方法二&#xff1a;在Environment variables: 输入spring.profile…

外汇天眼:风险预警!以下平台监管牌照被撤销!

监管信息早知道&#xff01;外汇天眼将每周定期公布监管牌照状态发生变化的交易商&#xff0c;以供投资者参考&#xff0c;规避投资风险。如果平台天眼评分过高&#xff0c;建议投资者谨慎选择&#xff0c;因为在外汇天眼评分高不代表平台没问题&#xff01; 以下是监管牌照发生…

【简单介绍下7-Zip,什么是7-Zip?】

&#x1f3a5;博主&#xff1a;程序员不想YY啊 &#x1f4ab;CSDN优质创作者&#xff0c;CSDN实力新星&#xff0c;CSDN博客专家 &#x1f917;点赞&#x1f388;收藏⭐再看&#x1f4ab;养成习惯 ✨希望本文对您有所裨益&#xff0c;如有不足之处&#xff0c;欢迎在评论区提出…