【数字电子技术课程设计】多功能数字电子钟的设计

news2024/10/1 5:35:28

目录

摘要

1  设计任务要求

2  设计方案及论证

2.1  任务分析

2.1.1 晶体振荡器电路

2.1.2 分频器电路

2.1.3 时间计数器电路

2.1.4 译码驱动电路

2.1.5 校时电路

2.1.6 整点报时/闹钟电路

2.2  方案比较

2.3  系统结构设计

2.4  具体电路设计

3  电路仿真测试及结论分析

3.1  电路仿真测试流程

3.1.1 时基电路部分(石英晶体振荡器+分频器)

3.1.2 计时电路部分

3.1.3 校时电路部分

3.1.4 整点报时/闹钟电路部分

3.2   数据分析和结论

3.3  遇到的问题与解决方法

4  收获与体会

5  参考文献

附录

        1.元器件清单

        2.PCB版图


摘要

        数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

        数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。数字钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。它是由数子钟电路、定时电路、放大执行电路、电源电路组成。为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。

        从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。

1 设计任务要求

[1] 时-分-秒6位LED显示;

[2] 时分可以按键校准;

[3] 一路定时和准点报时。

设计方案及论证

2.1  任务分析

要求1:时-分-秒6位LED显示

分析:通过译码器和数码管实现

要求2:时分可以按键校准

分析:按键产生脉冲经过计数器处理发送给译码器并由数码管显示

要求3:一路定时和准点报时

分析:定时和准点报时可使用通过一种预置初值的方法与当前时间进行比较,再通过蜂鸣器实现报时。也可以定时电路采用上述方法,准点报时利用分位产生的进位脉冲触发蜂鸣器实现报时。

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟 ,下图为构成框图。

 . 图 1系统框图

2.1.1 晶体振荡器电路

        给数字钟提供一个频率稳定准确的32768Hz的方波信号,保证数字钟的走时准确及稳定。

方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。

图 2  555与RC组成的多谐振荡器图

方案二:选用石英晶体构成振荡器电路

图 3 石英晶体振荡器图

方案三:由集成逻辑门与RC组成的时钟源振荡器

 图 4 门电路组成的多谐振荡器图

2.1.2 分频器电路

        从尽量减少元器件数量的角度来考虑,这里可选多极2进制计数电路CD4060和CD4040来构成分频电路。CD4060和CD4040在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。

        CD4060计数为14级2进制计数器,可以将32768Hz的信号分频为2Hz,其内部框图如图2.1所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能。

图 5.1 CD4060内部框图                图5.2   CD4040内部框图

CD4040计数器的计数模数为4096(),其逻辑框图如图5.2。如将32768Hz信号分频为1Hz,则需外加一个8分频计数器,故一般较少使用CD4040来实现分频。

2.1.3 时间计数器电路

        时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。

        一般采用10进制计数器来实现时间计数单元的计数功能。为减少器件使用数量,可选74LS390,其内部逻辑框图如图6所示。该器件为双2-5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效)。

图 6 74LS390内部逻辑框图

        秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。CPA(下降没效)与1Hz秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连。

        秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的电路连接方法如图7所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。

图 7 10进制-6进制计数器转换电路

        分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。

        时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为24进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行24进制转换。利用1片74LS390实现24进制计数功能的电路如图8所示。

        另外,图8所示电路中,尚余-2进制计数单元,正好可作为分频器2Hz输出信号转化为1Hz信号之用。

图 8  24进制计数器电路

2.1.4 译码驱动电路

        译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。用于驱动LED七段数码管的译码器常用的有74LS48。74LS48是BCD-7段译码器/驱动器,其输出是OC门输出且高电平有效,专用于驱动LED七段共阴极显示数码管。

2.1.5 校时电路

        一般时钟都应具备校时功能,即对时钟的时间进行手动调整。

方案一:根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。图10所示为所设计的校时电路。

图 9 方案一校正电路图

方案二:在方案一基础上增加了了0.01uf的电容防抖动。

图 10方案二校正电路图

方案三:校准电路由基本RS触发器和“与”门组成,基本RS触发器的功能是产生单脉冲,主要作用是起防抖动作用。未拨动开关K时,“与非”门G2的一个输入端接地,基本RS触发器处于“1”状态,这是数字钟正常工作,“分”进位脉冲能进入“分”计数器。拨动开关K时,“与非”门G1的一个输入端接地,于是基本RS触发器转为“0”状态。秒状态可以直接进入“分”计数器,而“分”进位脉冲被阻止进入,因而能较快地校准分计数器的计数值。校准后,将校正开关恢复原位,数字钟继续进行正常计时工作。

图 11 方案三校正电路

2.1.6 整点报时/闹钟电路

        一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。

        根据要求,整点报时通过分计数器产生的进位脉冲控制蜂鸣器。闹钟部分选用74LS85四路比较器分别对时计数器和分计数器的高低位与定时电路对应的位数进行比较,四路输出通过与门连接,与时进位脉冲共同控制蜂鸣器。

        选蜂鸣器为电声器件,蜂鸣器是一种压电电声器件,当其两端加上一个直流电压时酒会发出鸣叫声,两个输入端是极性的,其较长引脚应与高电位相连,图示的三极管时为了驱动蜂鸣器。

图12  报时电路图

2.2  方案比较

晶体振荡器电路:

        用555组成的脉冲产生电路: R1=15*103Ω,R2=68*103Ω,C=10μF ,则555所产生的脉冲的为:f=1.43/[(R1+2*R2)*103*10*106=0.947Hz,而设计要求为1Hz,因此其误差为5.3%,在精度要求不是很高的时候可以使用。

        石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出。

        由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。

        综上分析,选择方案二,石英晶体振荡电路能够作为最稳定的信号源。

分频器电路:

        CD4060分频系数更高,选择CD4060同时构成振荡电路和分频电路。

校时电路:

        通过比较可知,方案二和方案三比方案一多了防抖动的措施,稳定性更好,方案二和方案三相比,防抖动措施更好,更完备,但电路也更为复杂,成本也更高,通过比较选择方案二,既能实现防抖动功能,做出事物也更经济一些。

2.3  系统结构设计

.系统原理框图

2.4  具体电路设计

        晶体振荡器电路:R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。

        分频器电路:R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。

        总电路图:

 

电路仿真测试及结论分析

3.1  电路仿真测试流程

3.1.1 时基电路部分(石英晶体振荡器+分频器)

        在15级2分频电路后的输出端Q0接一个counter timer,在Proteus上运行仿真,观察Q0端口每个下降沿脉冲信号产生时counter timer的示数变化。

3.1.2 计时电路部分

        根据原理图及确定的方案把24进制计数器、60进制计数器与译码驱动电路在Proteus上进行对应连接,在秒部分的个位对应的74LS390的CLKA接上一个5Hz的时钟激励源,观察6个数码管的计数显示变化。

3.1.3 校时电路部分

        根据原理图及确定的方案把计时部分和选用的校正电路在Proteus上进行对应连接,在“校时脉冲”处接上一个1Hz的时钟激励源,观察“时校正按钮”及“分校正按钮”分别按下时,6个数码管的计数显示变化。

3.1.4 整点报时/闹钟电路部分

        根据原理图及确定的方案把整点报时电路和闹钟电路进行了二合一,在Proteus上进行对应连接。

(1) 当计时数码管显示开关off,闹钟数码管显示开关on时,通过“闹钟时设置按钮”“闹钟分设置按钮”进行闹钟设置,然后闹钟数码管显示开关off,计时数码管显示开关on,通过校时电路调节计时电路在所设闹钟时间附近,观察闹钟蜂鸣器在对应时刻的变化。

(2) 通过校时电路适当调节计时电路的时间,观察每到整点时刻,蜂鸣器的变化。

3.2   数据分析和结论

时基电路部分:利用晶振和分频器产生了1Hz精准脉冲信号。
计时电路部分:搭建了两个60进制计数器和一个24进制计数器分别实现了60进制和24进制。

校时电路部分:利用两个轻触按键,实现了分、时分别校时。

整点报时及闹钟部分:利用拨码开关实现闹钟设定可视化,利用比较器将计时与闹钟进行比较实现闹钟功能。利用门电路实现整点报时。

结论:设计已实现所有功能。

3.3  遇到的问题与解决方法

1.仿真过程中脉冲产生电路(晶体振荡器+分频器)耗时过长,观测变化不容易。原因可能是由于分频系数大导致的仿真计算量大增加了仿真时间。通过直接向计数器加1Hz时钟脉冲模拟脉冲产生电路的输出可以大大改善仿真效果。

2.在不增加数码管的情况下,无法实现当前时间与闹钟定时切换。原因为使用的计数器电路为组合电路,没有记忆功能,通过增加定时电路增加计数器电路和使用四个八路开关实现切换功能。

3.整点计时蜂鸣器不会触发,原因是时计数器进位脉冲产生的连线选择错误,CKA更换为CKB问题得以解决。

4  收获与体会

        在做本次实训的过程中,我感触最深的当属查阅大量的设计资料了。为了让自己的设计更加完善,查阅这方面的设计资料是十分必要的,同时也是必不可少的。我们是在做电子技术设计,但我们不是艺术家,他们可以抛开实际尽情在幻想的世界里翱翔,而我们一切都要有据可依,有理可寻,不切实际的构想永远只能是构想,永远无法升级为设计。

        起初在小组分工中分配给我计时电路部分的任务时候,最主要的部分就是24进制和60进制计数器,虽然之前的数电课上学过,可到了实际应用时候,我花了一晚上的时候,看到不知不觉困睡觉,第二天醒来才豁然开朗,搞懂它的运作原理,每次出现这种感觉时候都会感觉自己有所成长。

        随后在整点报时部分,原理图、PCB绘制,都让我感觉到了团队合作的力量,我们在短时间内便做出了两种不同的方案,

        在这次课程设计中,我们运用到了以前所学的数字电路知识等。虽然过去从未独立应用过它们,但在学习的过程中带着问题去学我发现效率很高,这是我做这次课程设计的又一收获。

参考文献

[1] 《数字电子技术基础》康华光 主编 高等教育出版社

[2] 《电子线路设计·实验·测试》第三版,谢自美 主编,华中科技大学出版社

[3] 《电子线路综合设计实验教程》 刘鸣 主编 天津大学出版

附录

1.元器件清单

序号

元器件型号

数量

备注

1

20pF

2

2

2N3904

1

3

K2-3.6×6.1_SMD

4

4

3.3k

4

5

10k

1

6

1k

1

7

10M

1

8

DS08

4

9

0.01uF

2

10

0.01u

2

11

BUZZER

1

12

SEG-TH_0.56×1_Cathode

6

13

32769Hz

1

14

74LS85

4

15

4060

1

16

SWITCH-MOMENTARY

1

17

74LS48

6

18

7432N

1

19

74LS390

11

20

74LS00

8

21

74LS08

5

22

HD74LS21FP

1

2.PCB版图

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1378499.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

必须掌握的100+个Linux命令大全【持续更新中】

别有一番风趣的alias … note:: 寒蝉凄切,对长亭晚,骤雨初歇。 柳永《雨霖铃》 Linux alias命令用于设置指令的别名,可以将比较长的命令进行简化。 默认情况下会输出当前的设置: $ alias lls -lah lals -lAh llls -lh lsls --…

【ELK 学习】ElasticSearch

ELK:ElasticSearch存储,Logstash收集,Kibana展示 版本较多,使用时需要版本匹配,还需要和mysql版本匹配(elastic官网给了版本对应关系) 本次使用的版本es6.8.12 filebeat 轻量级的数据收集工具 …

时间序列数据库选型: influxdb; netdiscover列出docker实例们的ip

influxdb influxdb: 有收费版本、有开源版本 influxdb 安装、启动(docker) docker run -itd --name influxdb-dev -p 8086:8086 influxdb #influxdb的web客户端(端口8003)被去掉了 #8006是web-service端口#docker exec -it influxdb-dev bashinfluxdb 自带web界面 从后面的…

揭秘HTTP协议:深入了解互联网通信的核心!

文章目录 HTTPHTTP的消息结构HTTP 常用请求方法HTTP 状态码 HTTP HTTP 是超文本传输协议,HTTP是缩写,全称是 HyperText Transfer Protocol 超文本指的是 HTML、css、JavaScript和图片等,HTTP的出现就是为方便接收和发布超HTML页面&#xff0c…

基于Echarts的大数据可视化模板:厅店营业效能分析

目录 引言厅店营业效能分析的重要性大数据时代下的零售业基于Echarts的厅店营业效能分析案例分析目标和数据准备图表类型的选择和参数设置图表样式和交互功能的优化Echarts与大数据可视化Echarts库以及其在大数据可视化领域的应用优势开发过程和所选设计方案模板如何满足管理的…

私域2.0大变革:构建用户亲密关系,让你的品牌脱颖而出!

一、私域2.0发展趋势 1. 常态化:2024年,做私域已经成为“标配” 根据腾讯营销洞察(TMI)的研究,微信生态和自营APP等私域触点在中国市场的渗透率已经达到了惊人的96%。这意味着,超过四分之三的消费者在过去…

AES加解密模式

要想学习AES,首先要清楚三个基本的概念:密钥、填充、模式。 1、密钥 密钥是AES算法实现加密和解密的根本。对称加密算法之所以对称,是因为这类算法对明文的加密和解密需要使用同一个密钥。 AES支持三种长度的密钥: 128位&#xff…

【Java】正则表达式( Pattern 和 Matcher 类)

快速入门 Java 提供了 java.util.regex 包,它包含了 Pattern 和 Matcher 类,用于处理正则表达式的匹配操作。 java.util.regex 包主要包括以下三个类: Pattern 类: pattern 对象是一个正则表达式的编译表示。Pattern 类没有公共…

Vue面试之组件通信的方式总结(下篇)

Vue面试之组件通信的方式总结 $refprovide&injectprovideinject EventBus事件总线vuex 最近在整理一些前端面试中经常被问到的问题,分为vue相关、react相关、js相关、react相关等等专题,可持续关注后续内容,会不断进行整理~ 在Vue框架中&…

PXE 高效批量网络装机

前提: 虚拟机恢复到初始化 调整网卡为vm1 关闭防火墙 安全linux systemctl stop firewalld vim /etc/selinux/config 配置IP地址 vim /etc/sysconfig/network-scripts/ifcfg-ens33 重启网卡 systemctl restart network 挂载磁盘 安装yum源 安装服务 yum install vs…

DETR tensorRT 的 C++ 部署

DETR tensorRT 的 C 部署 本篇说说DETR tensorRT 的 C 部署。 【完整代码、模型、测试图片】 1 导出 onnx 模型(建议先看) 方法1:导出DETR onnx并修改模型输出Gather层,解决tesorrt 推理输出结果全为0问题,参考【D…

代码随想录算法训练营第17天 | 110.平衡二叉树 + 257. 二叉树的所有路径 + 404.左叶子之和

今日内容 110.平衡二叉树 257. 二叉树的所有路径 404.左叶子之和 110.平衡二叉树 - Easy 题目链接:. - 力扣(LeetCode) 给定一个二叉树,判断它是否是高度平衡的二叉树。 本题中,一棵高度平衡二叉树定义为&#xff1…

【设计模式-03】Strategy策略模式及应用场景

一、简要描述 Java 官方文档 Overview (Java SE 18 & JDK 18)module indexhttps://docs.oracle.com/en/java/javase/18/docs/api/index.html Java中使用到的策略模式 Comparator、comparable Comparator (Java SE 18 & JDK 18)declaration: module: java.base, pa…

【生产者消费者模型的 Java 实现】

文章目录 前言传统派维新派 前言 题目:一个初始值为零的变量,多个线程对其交替操作,分别加1减1 实现步骤: 线程操作资源类判断,干活,通知防止虚假唤醒机制,即:多线程的判断需要用…

自旋框的使用

1. 自旋框 实例化 //实例化单精度自旋框QSpinBox* spinBox new QSpinBox(this);//实例化双精度自旋框QDoubleSpinBox* doubleSpinBox new QDoubleSpinBox(this);1.1 单精度自旋框 QSpinBox 1.1.1 单精度自旋框的基本函数 QSpinBox_QDoubleSpinBox Dialog.cpp #include "…

更快更稳的4K响应鼠标,小手玩家也能用,雷柏VT9PRO mini

雷柏今年推出了不少新品,特别是一系列支持4K回报率的鼠标,凭借敏捷的响应速度,获得了非常好的评价。不过之前雷柏出的4K鼠标都多适合中大手,对小手用户不友好,而且配色较少,都是黑白色的基础款,…

基于爬虫和Kettle的书籍信息采集与预处理

一:爬虫 1、爬取的目标 将读书网上的书籍的基本信息,比如:封面、书名、作者、出版社、价格、出版时间、内容简介、作者简介、书籍目录、ISBN和标签爬取出来,并将爬取的结果放入数据库中,方便存储。 2、网站结构 图1读…

HackTheBox - Medium - Linux - UpDown

UpDown UpDown 是一台中等难度的 Linux 机器,暴露了 SSH 和 Apache 服务器。在Apache服务器上,有一个Web应用程序,允许用户检查网页是否已启动。服务器上标识了一个名为“.git”的目录,可以下载以显示目标上运行的“dev”子域的源…

从事铁路工作保护足部,穿什么劳保鞋更安全

铁路运输在我国交通运输业中起着骨干作用,为国民经济的可持续发展和人口流动做出了巨大贡献。安全是铁路运输不可忽视的问题,在作业场地随处能见到“安全就是生命,责任重于泰山”的安全标语,由此可见安全问题是放在首位的。 铁路施…

❤ Vue3 完整项目太白搭建 Vue3+Pinia+Vant3/ElementPlus+typerscript(一)yarn 版本控制 ltb (太白)

❤ 项目搭建 一、项目信息 Vue3 完整项目搭建 Vue3PiniaVant3/ElementPlustyperscript&#xff08;一&#xff09;yarn 版本控制 项目地址&#xff1a; 二、项目搭建 &#xff08;1&#xff09;创建项目 yarn create vite <ProjectName> --template vueyarn install …