国科大超大规模集成电路设计针对期末考试的复习

news2024/10/2 22:17:23

基本概念

物理综合Physical synthesis

从RTL代码创建正确的布局布线电路,相当于跳过了逻辑门级表示,直接从数据流阶段到了版图阶段。

等效门equivalent gate

一个等效门是指一个二输入的与非门,这里的等效不是指功能上的等效,而是芯片面积上的等效,即一个集成电路的等效门数等于该集成电路的面积除以一个标准的二输入与非门的面积。

电气努力electrical effort

定义为外部负载与栅极输入电容之比。

抽象层次Abstraction hierarchy

是指将硬件系统分为不同的层次,每个层次都有自己的功能和接口,而不用关心其他层次的细节。这样可以简化硬件设计的复杂度,提高硬件的可移植性和可维护性。

噪声裕量Noise margin

分为高电平噪声裕量和低电平噪声裕量,指的是信号高电平和低电平到高低电平判决门限VIH和VIL之间的电压差。

强反型层Strong inversion layer

随着栅极电压 (VGS) 的增加,硅表面的电位 (ΦS) 在某个点达到临界值,此时半导体表面反转为 n 型材料。该点标志着一种称为强反转的现象的开始,并且发生在等于费米势两倍的电压(Φ)下

亚稳定性Metastability

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态引时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。

设计实体Design Entity

设计实体是VHDL中的主要硬件抽象。它由实体声明和相应的架构主体定义,代表给定的逻辑电路

时间队列(事件)Event queue

时间队列(事件)是指一种用于模拟电路行为的方法,它将电路中发生的各种事件按照时间顺序排列在一个队列中,然后依次处理这些事件,从而得到电路的输出响应。事件可以是电路中的信号变化、电源开关、输入脉冲、延迟等,每个事件都有一个触发时间和一个目标节点。
上面的概念是中重点

多米诺逻辑Domino logic

定义一类在动态逻辑门之间插入静态反向器以避免动态逻辑门直接级联时,产生过早放电的动态电路。

国际半导体技术路线图ITRS

这些文件代表了对半导体技术某些领域未来约15年的研究方向和时间表的最佳意见。

设备和系统的国际路线图IRDS

这是一组预测,研究了电子、半导体和计算机行业未来十五年的未来

工艺节点Technology Nodes

它是指特定的半导体制造工艺及其设计规则。不同的节点通常意味着不同的电路代系和架构

特征尺寸Feature size

它是MOS晶体管上源极和漏极之间的最小距离,是动态RAM芯片中单元之间距离的一半。

IC设计复杂性来源IC design complexity sources

随着技术节点的不断缩小,出现了新的可变性和可靠性问题:深亚微米 (DSM) 和纳米级设计的新问题

IC设计IC design

电路设计的目标是组装一组互连的电路元件,这些电路元件执行特定的目标函数

综合Synthesis

将设计抽象层次结构中的一种表示形式转换为另一种表示形式的过程。(综合 = 翻译 + 优化)

静态时序分析Static timing analysis

通过根据时序模型计算每条路径的延迟时间来分析逻辑。它不需要测试向量。

行为仿真Behavioral simulation

忽略时序并包括单位延迟模拟,该模拟将延迟设置为固定值

Post place and route simulation

获取布局布线的延迟时间并生成 SDF 文件

设计工艺协同优化Design Technology Co-Optimization (DTCO)

一种帮助半导体晶圆厂在先进工艺开发中降低成本和缩短上市时间的方法

简答

描述Y形图,主要使用抽象层次、描述域等方面进行描述

在描述域中

行为域:行为域描述可以看成一个黑盒,就是一个文本,数学形式或算法形式的描述
结构域:结构域展示了有哪些元件和连线,是行为域和几何域的桥梁,但是它不包含物理信息。
几何域:几何域包含了器件的尺寸,位置,端口和连线,扩散区,金属层,掩模版信息,是设计抽象的最底层。

在抽象层次中

Y图将芯片划分成六个层次
系统级、行为级、RTL级、逻辑门级、晶体管级、版图级
每一个抽象层次代表描述集成电路的一个维度,设计抽象层次从上到下,描述由抽象变得具体

说明BSIM3*3的短沟道仿真模型,主要使用短沟道的二阶效应描述

伯克利短沟道绝缘栅场效应管模型,它基于MOS器件的准二维模型,具有基于物理和基于经验的方程。
BSIM3v3模型能够考虑许多重要的物理效应,如沟道长度调制、漏极诱导势垒降低、沟道电荷分布、寄生电阻和电容、多晶硅栅极耗尽、非平衡载流子输运、亚阈值电流、噪声、温度效应等。

1. Binning Process in BSIM3

根据不同的L和W尺寸定义了多个模型,针对不同尺寸选择不同模型。一般我们使用的都是最小的尺寸,所以1、4、7是最常使用的模型。

在这里插入图片描述

计算题

1. 给出W和L及Y写一段HSPICE的nmos的定义程序(求AD、PD、AS、PS),2、给出一段HSPICE程序画对应的电路图3、画对应的电流曲线

在这里插入图片描述
AD = WY
PD = W + 2Y

2. 多路开关和查找表实现逻辑电路

多路开关

Y=/A/B+AB+C

Y=A(B+C)+/A(/B+C)=AF1 + /AF2
F1= B+C=C·1 + /CB
F2=/B+C=B·C+ /B·1
电路图如下
在这里插入图片描述

查找表

y = a b c d ‾ + a b c d + a b c d ‾ \mathrm{y=\overline{abcd}+abcd+ab\overline{cd}} y=abcd+abcd+abcd
我们认为D是最高位,列出上式的真值表,发现只有f(0000)=1、f(0011)=1、f(1111)=1
于是第0个RAM是1,第12个RAM是1,第15个RAM是1。
在这里插入图片描述

3. FPGA的时序模型

定义如下:
Pad to Pad tPD = tIN + tLogic + tOUT 不电路内的反馈下,从输入PAD到输出PAD所经过的延时
Clock Pad to Output Pad tCO = tGCK + tCOI + tF + tLogic + tOUT 从时钟PAD经过寄存器到输出PAD的延时
tCYC = tCOI + tF + tLogic + tSUI 系统工作的最小时钟周期。
Clock to Pad = tCOI + tF + tLogic + tOUT 时钟Pin到输出PAD所画的时间
Path Ending at Clock Pin of Flip-Flop = tGCK
Pad to Setup = tIN + tLogic + tSUI
Setup to Clock at Pad = tSU = Pad to Setup - tGCK

在这里插入图片描述
上图答案
tPD= tIN + tLOGIC1 + tLOGIC2 + tLOGIC2(or tLOGIC1) + tOUT
tCO = tGCK + tCOI + tLOGIC2 + tOUT
tSU = tIN + tLOGIC1 + tSUI - tGCK
tCYC = tCOI + tLOGIC2 + tLOGIC1 + tSUI
tSYS = tCOI + tLGOIC1 + tLOGIC2 + tSUI

4. 根据S(每十倍电流降对应的阈值电压降幅)、阈值电压、电流,通过改变阈值电压,求一百万个门的功耗的改变

S = n k T / q l n ( 10 ) S = nkT/q ln(10) S=nkT/qln(10)
I S U B = e − V T n k T / q I_{SUB} = e^{\frac{-V_T}{nkT/q}} ISUB=enkT/qVT
I S U B 1 I S U B 2 = e V T 2 − V T 1 n k T / q = e V T 2 − V T 1 S / l n 10 = 1 0 V T 2 − V T 1 S \frac{I_{SUB1}}{I_{SUB2}} = e^{\frac{V_{T2}-V_{T1}}{nkT/q}}=e^{\frac{V_{T2}-V_{T1}}{S/ln10}}=10^{\frac{V_{T2}-V_{T1}}{S}} ISUB2ISUB1=enkT/qVT2VT1=eS/ln10VT2VT1=10SVT2VT1

5. 描述宏单元

在这里插入图片描述
如图所示,每个宏单元都可以支持组合或寄存器输入、每个宏单元的通用置位和复位以及可配置的 D、T 或 L 寄存器,具有最大的时钟灵活性。ZIA 有两条反馈路径:一条来自宏单元,另一条来自 I/O 引脚。当 I/O 用作输出时,输出缓冲区被启用,并且宏单元反馈路径可用于反馈宏单元中实现的逻辑。 当 I/O 引脚用作输入时,输出缓冲器将处于高阻状态,输入信号将通过 I/O 反馈路径馈入 ZIA。在VFM中实现的逻辑可以通过宏单元反馈路径反馈给 ZIA系统。埋在逻辑块中且未连接到 I/O 的宏单元与非埋入的宏单元相同。每个宏单元都可用于实现寄存功能或组合功能。

简要记忆:

  1. 宏单元支持组合输入和寄存器输入。寄存器可用那几个端口配置成各种模式。
  2. ZIA支持VFM反馈输入,还支持I/O引脚直接输入。
  3. I/O用作输出时,三态门被启用,宏单元可反馈回ZIA。
  4. I/O用作输入时,三态门高阻,输入信号输入ZIA。
  5. 每个宏单元都可以用于寄存或者组合功能。

6. 组合逻辑延时最小

请添加图片描述
请添加图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1293521.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

创建vue项目:vue脚手架安装、vue-cli安装,vue ui界面创建vue工程(vue2/vue3),安装vue、搭建vue项目开发环境(保姆级教程二)

今天讲解 Windows 如何利用脚手架创建 vue 工程,以及 vue ui 图形化界面搭建 vue 开发环境,这是这个系列的第二章,有什么问题请留言,请点赞收藏!!! 文章目录 1、安装vue-cli脚手架2、vue ui创建…

2022年第十一届数学建模国际赛小美赛D题野生动物贸易是否应长期禁止解题全过程文档及程序

2022年第十一届数学建模国际赛小美赛 D题 野生动物贸易是否应长期禁止 原题再现: 野生动物市场被怀疑是此次疫情和2002年SARS疫情的源头,食用野生肉类被认为是非洲埃博拉病毒的一个来源。在冠状病毒爆发后,中国最高立法机构永久性地加强了野…

总结一篇本地idea配合阿里云服务器使用docker

idea打包打镜像发到阿里云服务器 先说一下使用docker desktop软件怎么使用 1.下载docker desktop官网,先注册个账号吧,后面桌面软件登录会用到(当然,配合这个软件使用需要科学上网) 安装这个要配合wsl使用&#xf…

Python实现的二叉树的先序、中序、后序遍历示例

一、先序、中序、后序遍历的次序: 创建好一棵二叉树后,可以按照一定的顺序对树中所有的元素进行遍历。按照先左后右,树 的遍历方法有三种:先序遍历、中序遍历和后序遍历。 其中,先序遍历的次序是:如果二叉…

HarmonyOS4.0从零开始的开发教程09页签切换

HarmonyOS(七)页签切换 List组件和Grid组件的使用 Tabs组件的使用 概述 在我们常用的应用中,经常会有视图内容切换的场景,来展示更加丰富的内容。比如下面这个页面,点击底部的页签的选项,可以实现“首页…

【Python】np.save()和np.load()函数详解和示例

本文通过函数原理和运行示例,对np.save()和np.load()函数进行详解,以帮助大家理解和使用。 更多Numpy函数详解和示例,可参考 【Python】Numpy库近50个常用函数详解和示例,可作为工具手册使用 目录 np.save (&#xff…

异想天开 | 如何实现PXE可视化?批量主机PXE如何监控状态?

这个问题源于早几年前印象比较深刻的面试,面的岗位是UCloud的CDN运维交付岗,当时面试官问我,在批量PXE的时候怎么才能快速确认是否已经PXE成功了?我当时的回答是可以看dhcp服务器分配的地址数量来确定。我已经忘记了为什么我会说出…

Anaconda安装教程及多次安装失败原因

Anaconda下载网址 本教程包含两部分:安装教程和多次安装Anaconda导致的失败原因分析 Anaconda安装包官网下载链接 ![在这里插入图片描述](https://img-blog.csdnimg.cn/direct/b5be0deb0aef4a81a594df79ddc1cc96.png#pic_center 安装 按以下步骤安装 红色波浪…

canvas绘制矩形和线

实现代码&#xff1a; <!DOCTYPE html> <html lang"en"> <head><meta charset"UTF-8"><meta http-equiv"X-UA-Compatible" content"IEedge"><meta name"viewport" content"widthdev…

小马识途营销顾问谈如何做好网络口碑营销?

俗话说酒香不怕巷子深&#xff0c;酒香自然有一定的优势&#xff0c;但小马识途营销顾问认为&#xff0c;面对众多的产品&#xff0c;稀有性并不那么容易&#xff0c;酒香也怕巷子深&#xff0c;赢得自己的品牌口碑&#xff0c;获取客户的信任度。才能让企业在市场竞争中长期立…

codeforces E - Good Triples

分析 易得总和总是大于等于每一位之和。如果左边的每一位之和有进位那么对于两边总和的贡献不影响&#xff0c;对于左边的位之和不影响&#xff0c;对于右边的位之和有影响。有进位相当于左边位之和加 10 10 10 &#xff0c;右边位之和加 1 1 1 。两边贡献不等&#xff0c;所…

决战排序之巅(一)

决战排序之巅 插入排序直接插入排序 void InsertSort(int* arr, int n)希尔排序 void ShellSort(int* arr, int n)测试插入排序测试函数 void verify(int* arr, int n)测试 InsertSort测试 ShellSort测试速度 InsertSort & ShellSort 选择排序直接选择排序 void SelectSort…

springboot3.0更新后,idea创建springboot2.x项目

springboot3.0更新后&#xff0c;idea创建springboot2.x项目 点击以下红色框中的按钮 出现了如下图所示&#xff1a; 到这里我们发现没有jdk8的版本&#xff0c;不要慌&#xff0c;我们可以先在这里选择21&#xff0c;然后进入到真正的项目中手动去修改这个jdk的版本&#xff0…

web:[GXYCTF2019]BabyUpload(文件上传、一句话木马、文件过滤)

题目 页面显示为文件上传 随便上传一个文件看看 上传一个文本文件显示 上传了一个图片显示 上传包含一句话木马的图片 上传了一个包含php一句话木马的文件&#xff0c;显示如上 换一个写法 上传成功 尝试上传.htaccess&#xff0c;上传失败&#xff0c;用抓包修改文件后缀 …

远程服务器——如何在Conda中安装R环境

目录 1. R的安装2. VScode 配置参考文献 1. R的安装 推荐使用anaconda或者miniconda&#xff0c;创建虚拟环R_env境然后安装R&#xff1b; 使用conda search r-base查看可下载的R的版本&#xff1b;R版本比较低&#xff0c;一般可以先增加源&#xff1a; % 增加源 conda con…

解决idea 通过build project 手动触发热部署失败

在debug运行项目的过程中&#xff0c;并且保证&#xff08;不添加方法&#xff0c;不修改方法名&#xff09;一定的规则的情况下&#xff0c;可以通过build project 来手动热部署项目&#xff0c;也就是会交换class文件与resouces文件。 设置项 Edit Configurations Modify Op…

保姆级 | XSS Platform环境搭建

0x00 前言 XSS Platform 平台主要是用作验证跨站脚本攻击。该平台可以部署在本地或服务器环境中。我们可以使用 XSS Platfrom 平台搭建、学习或验证各种类型的 XSS 漏洞。 0x01 环境说明 HECS(云耀云服务器)xss platformCentOS 8.0Nginx 1.24.0MySQL 5.6.51Pure-Ftpd 1.0.49ph…

TCP通讯

TCP通信 TCP通信方式呢 主要的通讯方式是一对一的通讯方式&#xff0c;也有着优点和缺点 它的优点对比于UDP来说就是更可靠 因为它的通讯方式是需要先发送消息 看看客户端是否能够接收到消息 如果没有回复消息的话 服务端 就不会发出文件 等待客户端回复消息&#xff0c;这…

听GPT 讲Rust源代码--src/tools(8)

File: rust/src/tools/rust-analyzer/crates/ide-assists/src/handlers/add_missing_match_arms.rs 在Rust源代码中&#xff0c;rust-analyzer是一个Rust编程语言的语言服务器。它提供了代码补全、代码重构和代码导航等功能来帮助开发者提高编码效率。 在rust-analyzer的代码目…

深度解析HarmonyOS开发-活动召集令元服务【鸿蒙北向应用开发实战】

目录 一&#xff0e;元服务和ArkTS语言简介1.1 学习元服务1.2 元服务带来的变革1.3 元服务全场景流量入口1.4 ArkTS学习1.5 ArkTS特点 二&#xff0e;DevEco Studio开发工具2.1 DevEco Studio学习2.2 DevEco Studio的主要特性2.3 端云一体化开发2.3.1端云一体化开发特点 2.4 低…