Systemverilog断言介绍(二)

news2024/9/24 11:30:28

3.2 IMMEDIATE ASSERTIONS

        即时断言是最简单的断言语句类型。它们通常被认为是SystemVerilog过程代码的一部分,并在代码评估期间访问时进行评估。它们没有时钟或复位的概念(除非有时钟/复位控制其封闭的过程块),因此无法验证跨越时间的行为。它们还容易受到SystemVerilog过程代码通常存在的风险的影响,包括“delta-time”故障(这里可以看绿皮书4.3.4 测试平台一设计间的竞争状态),即由于过程块(always或always_*)的多次评估而受到时间步长中间临时值的影响。通常情况下,我们建议尽可能使用并发断言而不是即时断言。这个建议有几个原因:

  • 使用相对于已知时钟的断言是并发断言直接支持的功能,有助于保持预期行为的清晰和理解。

  • 时间差异风险,即即时断言可能报告临时值,这些临时值在时间步长结束时会发生变化,可能导致错误的失败报告,并增加调试的困难。

  • 由于即时断言不是相对于时钟来说明的,除非位于定时过程块中,模型或测试台架的时序行为的变化可能导致不可预测的结果。

然而,有一些特定情况下需要使用即时断言:

  • 在函数或其他真正无时钟结构中:可能希望在函数内部添加即时断言;例如,检查其参数的安全性或检查计算结果。

  • 用于状态匹配形式等价验证(FEV)工具:状态匹配的FEV工具将逻辑分解为组合逻辑锥,因此其中许多工具只能处理无时钟假设以证明等价性。

        出于这些原因,在此处描述即时断言而不是完全跳过它们。但请记住,必须谨慎使用它们,只建议在上述情况下使用。

3.2.1 WRITING IMMEDIATE ASSERTIONS

        虽然即时断言通常只应在上面描述的情况下使用,但当您确实需要使用它们时,正确使用它们非常重要。建议始终使用称为“最终延迟即时断言”的即时断言变体。最终延迟即时断言语句相对简单:只需使用assert final,后面跟随任何布尔表达式,可选地在之前添加一个标签和在之后添加一个失败消息。因此,如果想编写一个即时断言来检查当代理0没有请求时是否授予了他们的访问权限,可以编写以下语句:

grant0_ok: assert final (!(gnt[0] && !req[0])) else$error(“Grant without request for agent 0.”);
或者
grant0_ok: assert #0 (!(gnt[0] && !req[0])) else$error(“Grant without request for agent 0.”);

        标签grant0_ok是断言的一个可选名称;如果没有标签,大多数EDA工具会自动生成一个名称,但我们建议始终包含一个标签。

    else $error ...的动作块也是可选的,如果没有它,大多数仿真工具会为断言失败生成默认消息。但建议始终在这里包含一些有意义的内容,以帮助仿真调试。

        这个断言可以放在任何模块内的always块中,只要gnt和req信号是可见的,或者放在具有访问这些信号权限的函数内。也可以将其放在模块内的always块之外;在这种情况下,它将被视为在隐含的always_comb块中。每当执行其过程代码时,将检查该断言。

        插个题外话,理解 assert #0 or assert final,这里需要复习一下Time Slot的概念(具体细节可以看绿皮书4.4章节)。

图片

根据IEEE1800里对于assert #0 or assert final的描述如下:

  • #0 : for an observed deferred assertion

  • fina1: for a final deferred assertion

图片

        可以看到两者区别在于采样region的不同,实际上大多数case中使用assrt #0还是asset final都是一样的。通过deferred assertion就可以避开delta-time可能导致的问题。

3.2.2 COMPLICATIONS OF PROCEDURAL CODE AND MOTIVATION FOR ASSERT FINAL

        在SystemVerilog中执行过程代码(主要是always块)的方式可能会让许多用户感到惊讶,甚至包括那些在这种语言中设计多年的用户。您需要记住以下三个关键概念:

  • 在单个always块中,语句按照它们出现的顺序执行,就像软件代码一样。

  • 对于多个always块,没有保证执行顺序;它们可以以任何顺序执行。

  • 如果always块的敏感列表中的信号发生变化(由于另一个always块或其他类型的赋值导致),它将在同一时间步重新执行。

        这些特性可能会导致某些立即断言的行为令人惊讶,除非用户对LRM非常熟悉。这就是为什么语言的较新版本引入了延迟断言的概念。延迟断言是一种立即断言的形式,遵循以下特殊规则:如果包含它们的过程在单个仿真时间步内执行多次,只有最后执行的结果会被报告。

        为了更清楚地说明这一点,以下是一个非常糟糕的RTL代码片段示例,其中使用了非延迟的立即断言:

always_comb begin : add_1_to_evens
 if (f_even(i) && i < 9) begin
   i = i + 1;
   a1: assert (i >= 10) else $error(“i is %0d”,i);
 end
end
always_comb begin : add_1_to_odds
 if (f_odd(i) && i < 10) begin
   i = i + 1;
   a2: assert (i >= 10) else $error(“i is %0d”,i);
 end
end

        假设f_even正确地对偶数返回true,f_odd正确地对奇数返回true,如其名称所示。如果i在某个地方被赋予小于10的值,上述一对始终计数块将一直被执行,每次交替地将i增加1,并且每次在i增加但尚未达到最大值时都会出现断言失败:

Assertion failure in myfile.v:40: i is 4
Assertion failure in myfile.v:34: i is 5
Assertion failure in myfile.v:40: i is 6
Assertion failure in myfile.v:34: i is 7
Assertion failure in myfile.v:40: i is 8
Assertion failure in myfile.v:34: i is 9

        用户会发现上述信息非常令人困惑,因为在仿真器的每个时间步骤结束时,他们会发现i的值始终至少为10。在时间步骤期间发生变化的中间值触发了这些断言。这就是为什么引入延迟断言的原因:对于延迟断言,在任何仿真时间步骤中,只报告给定过程中每个断言最终执行的结果。在上述示例中,如果每个assert被替换为assert final,那么将看不到任何违规行为:对于任何给定时间步骤中每个过程的最终执行,要么i将具有合法值,要么不会检查任何断言。


原创 Junxiao Zhang 芯片验证笔记 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1111356.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【无标题】光伏逆变器的IEC62109测试,逆变器IEC62109测试项目

光伏逆变器的IEC62109测试&#xff0c;逆变器IEC62109测试项目 逆变器又称电源调整器&#xff0c;根据逆变器在光伏发电系统中的用途可分为独立型电源用和并网用二种。根据波形调制方式又可分为方波逆变器、阶梯波逆变器、正弦波逆变器和组合式三相逆变器。对于用于并网系统的…

基于springboot汽车租赁系统

功能如下图所示 摘要 Spring Boot汽车租赁系统的设计旨在满足不断增长的租车市场需求&#xff0c;并通过简化开发和部署流程来提供方便的租车解决方案。系统采用了现代化的架构&#xff0c;主要基于以下技术栈&#xff1a; Spring Boot&#xff1a;作为后端的核心框架&#xff…

VMware——VMware17安装WindowServer2012R2环境(图解版)

目录 一、WindowServer2012R2镜像百度云下载二、安装 一、WindowServer2012R2镜像百度云下载 下载链接&#xff1a;https://pan.baidu.com/s/1TWnSRJTk0ruGNn4YinzIgA 提取码&#xff1a;e7u0 二、安装 打开虚拟机&#xff0c;点击【创建新的虚拟机】&#xff0c;如下图&…

【Java基础面试二十六】、说一说String和StringBuffer有什么区别

文章底部有个人公众号&#xff1a;热爱技术的小郑。主要分享开发知识、学习资料、毕业设计指导等。有兴趣的可以关注一下。为何分享&#xff1f; 踩过的坑没必要让别人在再踩&#xff0c;自己复盘也能加深记忆。利己利人、所谓双赢。 面试官&#xff1a;说一说String和StringBu…

C++项目——云备份-①-项目介绍环境搭建

文章目录 专栏导读1.什么是云备份2.实现目标3.服务端程序负责功能4.服务端功能模块划分5.客户端程序负责功能6.客户端功能模块划分开发环境环境搭建1. gcc 升级7.3版本2.安装 jsoncpp 库3.下载bundle数据压缩库4.下载 httplib 库 专栏导读 &#x1f338;作者简介&#xff1a;花…

【Solution】商品秒杀之Redis缓存与MQ异步优化以及超卖一人一单等问题的解决

目录 一、Demo开始前准备 1、数据库准备 2、项目准备 3、全局唯一id生成器 二、秒杀业务基本实现 1、秒杀基本业务逻辑 2、秒杀接口设计 3、秒杀业务代码实现 4、超卖问题产生 三、保证线程安全解决超卖少卖问题 1、超卖产生的原因 2、加锁方案&#xff1a;乐观锁 …

Go 函数的健壮性、panic异常处理、defer 机制

Go 函数的健壮性、panic异常处理、defer 机制 文章目录 Go 函数的健壮性、panic异常处理、defer 机制一、函数健壮性的“三不要”原则1.1 原则一&#xff1a;不要相信任何外部输入的参数1.2 原则二&#xff1a;不要忽略任何一个错误1.3 原则三&#xff1a;不要假定异常不会发生…

【Linux】如何判断RS-232串口是否能正常使用

1.RS-232串口短接 使用RS-232协议的串口引脚一般如图下所示 为了让串口能够接收到自己发出的串口数据&#xff0c;需要将输出端和输入端&#xff08;RXD和TXD&#xff09;进行短接操作&#xff1a; 短接完成后&#xff0c;才能实现自发自收的功能&#xff08;走其他协议的串口清…

开发者职场“生存状态”大调研报告分析 - 第四版

听人劝、吃饱饭,奉劝各位小伙伴,不要订阅该文所属专栏。 作者:不渴望力量的哈士奇(哈哥),十余年工作经验, 跨域学习者,从事过全栈研发、产品经理等工作,现任研发部门 CTO 。荣誉:2022年度博客之星Top4、博客专家认证、全栈领域优质创作者、新星计划导师,“星荐官共赢计…

Swift使用Embassy库进行数据采集:热点新闻自动生成器

概述 爬虫程序是一种可以自动从网页上抓取数据的软件。爬虫程序可以用于各种目的&#xff0c;例如搜索引擎、数据分析、内容聚合等。本文将介绍如何使用Swift语言和Embassy库编写一个简单的爬虫程序&#xff0c;该程序可以从新闻网站上采集热点信息&#xff0c;并生成一个简单…

【MyBatis】MyBatis日志信息配置

目录 什么是MyBatis相关的日志&#xff1f; 标准日志信息配置&#xff1a; 配置logback日志信息&#xff1a; 什么是MyBatis相关的日志&#xff1f; 首先什么叫做与MyBatis相关的日志呢&#xff1f;就是我们在执行sql语句的时候&#xff0c;如果没有MyBatis相关的日志&…

SpringBoot项目访问后端页面404

检查项目的路径和mapper映射路径没问题后&#xff0c;发现本级pom文件没有加入web启动模块的pom文件中 maven做项目控制时&#xff0c;要注意将maven模块加入到web启动模块中

IDEA项目import报错清理缓存

1、清理maven缓存 2、整个项目清理缓存

易点易动上线招标管理模块:提升企业高效招标管理的解决方案

在当今竞争激烈的商业环境下&#xff0c;招标管理对于企业的成功至关重要。为了帮助企业实现高效的招标管理&#xff0c;易点易动固定资产管理系统上线了全新的招标管理模块。该模块涵盖了供应商资质审核、采购询价单、重新报价单、招标结果单、招标作废单等功能&#xff0c;为…

【动态规划】583. 两个字符串的删除操作、72. 编辑距离

提示&#xff1a;努力生活&#xff0c;开心、快乐的一天 文章目录 583. 两个字符串的删除操作&#x1f4a1;解题思路&#x1f914;遇到的问题&#x1f4bb;代码实现&#x1f3af;题目总结 72. 编辑距离&#x1f4a1;解题思路&#x1f914;遇到的问题&#x1f4bb;代码实现&…

知识分享:如何制作一个电子名片二维码?

参加国际展会、寻找合作商、线下客户拜访、渠道开发、商务对接、行业交流大会……在这些场合中&#xff0c;商务名片都是必不可少的。随着二维码应用的流行&#xff0c;名片上使用二维码已经非常普遍了。你也可以在商务名片上使用一个自己设计的电子名片二维码&#xff0c;扫描…

基于 KubeSphere 部署 KubeBlocks 实现数据库自由

作者&#xff1a;尹珉&#xff0c; KubeSphere Contributor & Ambassador&#xff0c;KubeSphere 社区用户委员会杭州站站长。 KubeSphere 是什么&#xff1f; KubeSphere 是在 Kubernetes 之上构建的面向云原生应用的分布式操作系统&#xff0c;完全开源&#xff0c;支持…

使用HTML制作一个摊煎饼小游戏

铁打的行业流水的人&#xff0c;与其被动等待35岁的到来&#xff0c;不如主动出击探索副业&#xff0c;实现一个HTML摊煎饼小游戏&#xff0c;结合传统方法和现代技术&#xff0c;致力于制作出既美味又有创意的煎饼。 目录 引言简介游戏背景 所需材料和工具HTML基础知识CSS样式…

python作图

最重要的一张图了&#xff0c;有助于了解一下图的各个组成部分。最重要的一句话就是 Figure包含至少一个Axes&#xff0c;每个Axes可以被认为是一个模块&#xff08;包含坐标轴&#xff0c;标题&#xff0c;图像内容等&#xff09;。因此&#xff0c;创建单图的时候就是在Figur…

BAT028:批量将文件修改日期后缀更新为最新修改日期

引言&#xff1a;编写批处理程序&#xff0c;实现批量将文件修改日期后缀更新为最新修改日期。 一、新建Windows批处理文件 参考博客&#xff1a; CSDNhttps://mp.csdn.net/mp_blog/creation/editor/132137544 二、写入批处理代码 1.右键新建的批处理文件&#xff0c;点击【…